DE4229837A1 - A SEMICONDUCTOR MEMORY DEVICE WITH A VARIETY OF MICROGRABES AND / OR MICROCYLINDERS WITH MEMORY ELECTRODES - Google Patents

A SEMICONDUCTOR MEMORY DEVICE WITH A VARIETY OF MICROGRABES AND / OR MICROCYLINDERS WITH MEMORY ELECTRODES

Info

Publication number
DE4229837A1
DE4229837A1 DE4229837A DE4229837A DE4229837A1 DE 4229837 A1 DE4229837 A1 DE 4229837A1 DE 4229837 A DE4229837 A DE 4229837A DE 4229837 A DE4229837 A DE 4229837A DE 4229837 A1 DE4229837 A1 DE 4229837A1
Authority
DE
Germany
Prior art keywords
layer
electrode
micro
polysilicon
insulation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE4229837A
Other languages
German (de)
Other versions
DE4229837C2 (en
Inventor
Dae-Je Chin
Tae-Young Chung
Young-Woo Park
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of DE4229837A1 publication Critical patent/DE4229837A1/en
Application granted granted Critical
Publication of DE4229837C2 publication Critical patent/DE4229837C2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/318DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor the storage electrode having multiple segments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/84Electrodes with an enlarged surface, e.g. formed by texturisation being a rough surface, e.g. using hemispherical grains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/86Electrodes with an enlarged surface, e.g. formed by texturisation having horizontal extensions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

Die Erfindung betrifft einen Kondensator mit einer hohen Speicherkapazität, der in einer begrenzten Fläche auf einem Halbleitersubstrat gebildet ist. Insbesondere betrifft die Erfindung eine Halbleiterspeicherzelle mit einem Speicherkondensator, wobei die Halbleiterspeicher­ zelle einen Übertragungstransistor mit auf einem Halbleitersubstrat gebildeten Source- und Drainbereichen aufweist, dessen Gateelektrode benachbart zu den Source- und Drainbereichen angeordnet ist, und mit einem Speicherkondensator, der eine Speicherelektrode mit einer Vielzahl von Mikrogräben und/oder Mikrozylindern aufweist, die mit dem Sourcebereich verbunden sind, wobei eine dielektrische Schicht die Speicherelektrode bedeckt und eine Plattenelektrode die dielektrische Schicht bedeckt.The invention relates to a capacitor with a high storage capacity in a limited Surface is formed on a semiconductor substrate. In particular, the invention relates to a Semiconductor memory cell with one Storage capacitor, the semiconductor memory cell with a transfer transistor on one Semiconductor substrate formed source and Has drain regions, the gate electrode adjacent to the source and drain areas is arranged, and with a storage capacitor, the one storage electrode with a variety of Has micro trenches and / or micro cylinders with are connected to the source region, one dielectric layer covers the storage electrode and a plate electrode the dielectric layer covered.

Ein dynamischer Speicher mit wahlfreiem Zugriff (DRAM) weist eine Vielzahl von Speicherzellen auf, von denen jede einen Transfertransistor und einen Speicherkondensator aufweist. Folglich wächst die durch den DRAM eingenommene Fläche mit einem Anwachsen der Dichte der Speicherzellen. Da ein solches Anwachsen der Besetzungsfläche eine Abnahme in der Ausbeute verursacht, ist es erforderlich, die Speicherkapazität in einem begrenzten, schmalen Bereich, der von den entsprechenden Speicherkonden­ satoren besetzt ist, zu erhöhen, ohne ein Anwachsen der Besetzungsfläche entsprechend zum Anwachsen der Dichte der Speicherzellen. Um diese Forderung zu erfüllen sind eine Anzahl von Kondensatorzellstrukturen vorgeschlagen worden wie eine gestapelte Kondensatorzelle und eine Grabenkondensatorzelle. Der gestapelte Kondensator ist insbesondere für Megabit-DRAM′s vorgeschlagen worden, aufgrund der Einfachheit des Herstellungsverfahrens und der hohen Immunität gegenüber Fehlern durch Fremdkörpereinwirkung im Vergleich mit dem Grabenkondensator. Ein Weg den Anforderungen zu genügen, ist die Oberfläche der Speicherelektrode zu vergrößern oder die effektive Dicke der dielektrischen Schicht des Kondensators zu vermindern und dielektrisch gute Substanzen zu verwenden. Allerdings ist die vorliegende Erfindung nicht auf eine Verminderung der effektiven Dicke der dielektrischen Schicht oder eine Erhöhung der Dielektrizitätskonstante gerichtet, sondern auf eine Vergrößerung der Oberfläche der Speicherelektrode.A dynamic memory with random access (DRAM) has a large number of memory cells, each one a transfer transistor and one Has storage capacitor. Consequently, the grows area occupied by the DRAM with a Increase in the density of the memory cells. There a such an increase in the occupation area a decrease caused in the yield, it is necessary that Storage capacity in a limited, narrow Area by the corresponding memory card is occupied without increasing one  The occupancy area grows accordingly Increase in the density of the memory cells. Around There are a number of requirements to meet Capacitor cell structures have been proposed as a stacked capacitor cell and one Trench capacitor cell. The stacked capacitor is particularly proposed for megabit DRAMs been due to the simplicity of the Manufacturing process and high immunity against errors caused by foreign bodies in the Comparison with the trench capacitor. A way the Meeting the requirements is the surface of the Enlarge storage electrode or the effective Thickness of the dielectric layer of the capacitor diminish and dielectric good substances too use. However, the present invention not to reduce the effective thickness of the dielectric layer or an increase in Dielectric constant directed to one Enlargement of the surface of the storage electrode.

Eine bekannte Technik, bei der die Speicherelektrode graviert wird, um die Oberfläche der Speicherelektrode zu vergrößern, ist in "Extended Abstracts of the 21st Conference on Solid State Devices and Materials (SSMD)", 1989, Seiten 137-140 offenbart. Diese Technik verbindet die folgenden Schritte: Auftragen von Polysilizium auf selektiv oxidiertem n-Typ Siliziumsubstrat durch chemische Dampfablagerung bei Niederdruck (LPCVD), Dotieren des aufgetragenen Polysilizium durch Phosphordiffusion mit POCL3 als Quelle, Auftragen einer Mischung eines aufgeschleuderten Glas (SOG) und einer Widerstandsschicht auf dem dotierten Polysilizium, Erhitzen der gemischten Schicht, selektives Ätzen des SOG in einer gepufferten HF-Lösung, wodurch nur die Widerstandsteilchen auf dem Polysilizium verbleiben, Trockenätzen des Polysiliziums unter Verwendung der dispersierten Widerstandsteilchen als Ätzmaske, Entfernen der Widerstandsteilchen und Strukturieren des Polysiliziums zur Bildung der Speicherelektrode. Als Ergebnis wird die Oberfläche der Speicherelektrode durch Verwendung der Widerstandsteilchen, die auf der Polysiliziumoberfläche als Ätzmaske zurückgeblieben sind, erhöht, in dem eine gravierte Speicherelektrode gebildet wird. Weiterhin wird die Vergrößerung der Oberfläche der Speicherelektrode durch Steuerung der Größe der Widerstandsteilchen und der Ätzzeit des Polysiliziums erreicht. Die Größe der Widerstandsteilchen kann durch das Mischverhältnis von Widerstandsmaterial und SOG und die Dicke der auf dem Polysilizium aufgetragenen Mischung gesteuert werden. Da allerdings die Technik die Verwendung von Teilchen mit gleichmäßiger Größe und die Steuerung der Beschichtungsdicke der Mischung aufgrund des Mischverhältnisses von Widerstandsmaterial und SOG erfordert, können Schwierigkeiten beim Wiederholen des Gravierens der Speicherelektrode und beim Erhöhen der Zuverlässigkeit auftreten. Eine weitere Schwierigkeit ist, daß das Gravierverfahren zur Vergrößerung der Oberfläche kompliziert ist.A known technique in which the storage electrode is engraved to enlarge the surface of the storage electrode is disclosed in "Extended Abstracts of the 21st Conference on Solid State Devices and Materials (SSMD)", 1989, pages 137-140. This technique combines the following steps: application of polysilicon to selectively oxidized n-type silicon substrate by chemical vapor deposition at low pressure (LPCVD), doping of the applied polysilicon by phosphorus diffusion with POCL 3 as a source, application of a mixture of a spin-on glass (SOG) and a resistive layer on the doped polysilicon, heating the mixed layer, selectively etching the SOG in a buffered HF solution, whereby only the resistance particles remain on the polysilicon, dry etching the polysilicon using the dispersed resistance particles as an etching mask, removing the resistance particles and structuring the polysilicon to form it the storage electrode. As a result, by using the resistive particles left on the polysilicon surface as an etching mask, the surface of the storage electrode is increased by forming an engraved storage electrode. Furthermore, the enlargement of the surface of the storage electrode is achieved by controlling the size of the resistance particles and the etching time of the polysilicon. The size of the resistor particles can be controlled by the mixing ratio of resistor material and SOG and the thickness of the mixture applied to the polysilicon. However, since the technique requires the use of uniformly sized particles and the control of the coating thickness of the mixture due to the mixing ratio of the resistive material and SOG, difficulties in repeating the engraving of the storage electrode and increasing the reliability may arise. Another difficulty is that the engraving process for enlarging the surface is complicated.

Eine weitere bekannte Technik zur Erhöhung der Oberfläche der Speicherelektrode ist in IEDM, 1990, Seiten 655-656, auf oder SSDM, 1990, Seiten 872- 876 und SSDM, 1990, Seiten 869-872 offenbart, wobei eine Speicherzelle eine hemisphärische Kornspeicherelektrode aufweist. Diese Technik beruht darauf, daß während des Auftragens von Polysilizium durch LPCVD das Polysilizium unter einer bestimmten Bedingung eine unebene Oberfläche mit Siliziumdellen oder hemisphärischen Körnern aufweist. Weiterhin offenbart diese Druckschrift das eine solche unebene Oberfläche aktiv in einem engen Temperaturbereich (5°C) auftritt, die benachbart zu einer Übergangstemperatur des Polysiliziums vom nichtkristallinen zum kristallinen Zustand ist, wobei die Oberfläche der Speicherelektrode auf das doppelte von konventionellen Polysilizium vergrößert wird. Da diese Technik gut steuerbar durch Verwendung existierender Einrichtungen innerhalb des Temperaturbereiches von 5°C ist, ist das Herstellungsverfahren einfach und weist eine zuverlässige Wiederholbarkeit auf. Allerdings wird die Oberfläche der Speicherelektrode nur auf das doppelte einer konventionellen Speicherelektrode vergrößert. Daher ist die Anwendung dieser Technik bei Speichereinrichtungen mit hoher Dichte wie bei DRAM′s von 10 oder 100 Megabit schwierig, da eine hohe Vergrößerung der Speicherkapazität in einem beschränkten, schmalen Bereich schwierig ist.Another known technique for increasing the Surface of the storage electrode is in IEDM, 1990,  Pages 655-656, on or SSDM, 1990, Pages 872- 876 and SSDM, 1990, pages 869-872, wherein a memory cell a hemispherical Has grain storage electrode. This technique is based on the fact that during the application of polysilicon through LPCVD the polysilicon under a certain Condition an uneven surface with silicon dents or hemispherical grains. Farther this publication discloses such an uneven Surface active in a narrow temperature range (5 ° C) occurs that is adjacent to one Transition temperature of the polysilicon from is non-crystalline to the crystalline state, wherein the surface of the storage electrode doubled is expanded by conventional polysilicon. There this technique is well controllable through use existing facilities within the Temperature range of 5 ° C is that Manufacturing process simple and has one reliable repeatability. However the surface of the storage electrode only on that double a conventional storage electrode enlarged. Hence the application of this technique for storage devices with high density as in DRAM's of 10 or 100 megabits difficult because of one high increase in storage capacity in one confined, narrow area is difficult.

Der Erfindung liegt daher die Aufgabe zugrunde, einen Speicherkondensator mit einer hohen Speicherkapazität in einem begrenzten Bereich bereitzustellen. The invention is therefore based on the object Storage capacitor with a high storage capacity to be provided in a limited area.  

Eine weitere Aufgabe der vorliegenden Erfindung ist, einen Speicherkondensator mit einer vergrößerten Oberfläche der Speicherelektrode in einem begrenzten Bereich zu bilden.Another object of the present invention is a storage capacitor with an enlarged Surface of the storage electrode in a limited Area to form.

Eine weitere Aufgabe der Erfindung ist, einen Speicherkondensator mit einer vergrößerten Speicherkapazität in einem begrenzten, kleinen Bereich durch ein einfaches Herstellungsverfahren herzustellen.Another object of the invention is one Storage capacitor with an enlarged Storage capacity in a limited, small Area through a simple manufacturing process to manufacture.

Schließlich ist es Aufgabe der Erfindung, einen Speicherkondensator mit hoher Zuverlässigkeit und hoher Speicherkapazität in einem begrenzten, kleinen Bereich herzustellen.Finally, it is an object of the invention, one Storage capacitor with high reliability and high storage capacity in a limited, small Area.

Eine weitere Aufgabe der vorliegenden Erfindung ist, einen Speicherkondensator mit hoher Speicherkapazität und zuverlässiger Wiederholbarkeit in einem begrenzten, kleinen Bereich zu bilden.Another object of the present invention is a storage capacitor with high storage capacity and reliable repeatability in one to form a limited, small area.

Zur Lösung der Aufgabe weist ein Kondensator gemäß der Erfindung eine erste Elektrode und eine auf der ersten Elektrode gebildete dielektrische Schicht auf, wobei die erste Elektrode aus Polysilizium gebildet ist und eine Vielzahl von Mikrogräben und/oder Mikrozylinder aufweist, die in einem bestimmten Bereich der Elektrode gebildet sind.To solve the problem, a capacitor according to the invention a first electrode and one on the dielectric layer formed on the first electrode, the first electrode being formed from polysilicon is and a variety of micro-trenches and / or Has microcylinder in a particular Area of the electrode are formed.

Weiterhin weist eine Halbleiterspeicherzelle gemäß der Erfindung einen Transfertransistor und eine Speicherelektrode auf, wobei der Transfertransistor Source- und Drainbereiche und eine zu den Source- und Drainbereichen benachbarte Gateelektrode aufweist, und der Speicherkondensator eine erste den Sourcebereich kontaktierende und von der Gateelektrode beabstandete erste Elektrode, eine die erste Elektrode bedeckende dielektrische Schicht und eine die dielektrische Schicht bedeckende zweite Elektrode aufweist, wobei die erste Elektrode aus Polysilizium ist und eine Vielzahl von Mikrogräben und/oder Mikrozylindern aufweist, die in einem vorbestimmten Bereich gebildet sind.Furthermore, a semiconductor memory cell according to the invention, a transfer transistor and Storage electrode on, wherein the transfer transistor Source and drain areas and one to the source and  Has drain regions adjacent to the gate electrode, and the storage capacitor a first den Source range contacting and from the Gate electrode spaced apart first electrode, one that first electrode covering dielectric layer and a second covering the dielectric layer Has electrode, wherein the first electrode Is polysilicon and a variety of micro-trenches and / or micro-cylinders which in one predetermined area are formed.

Weiterhin wird gemäß der Erfindung ein Verfahren zur Bildung einer Speicherelektrode mit einer Vielzahl von Mikrogräben und/oder Mikrozylindern bereitgestellt, bei welchem Körner auf einer Oberfläche der Speicherelektrode gebildet werden, eine Maskierungsschicht auf den Seitenwänden der entsprechenden Körner zum Ätzen gebildet wird und ein anisotropes Ätzen durch Verwendung der Ätzmaskenschicht als Maske durchgeführt wird.Furthermore, according to the invention, a method for Formation of a storage electrode with a variety of micro trenches and / or micro cylinders provided at which grains on a Surface of the storage electrode are formed a masking layer on the sidewalls of the appropriate grains for etching is formed and a anisotropic etching using the Etching mask layer is carried out as a mask.

Weiterhin wird gemäß der Erfindung ein Kondensator bereitgestellt, der eine erste in einem begrenzten Bereich eines Substrates gebildete Elektrode, eine auf der ersten Elektrode gebildete dielektrische Schicht und eine auf der dielektrischen Schicht gebildete zweite Elektrode aufweist, wobei die erste Elektrode eine Vielzahl von Mikrogräben und/oder Mikrozylindern der Leitungsschicht enthält, wobei die Leitungsschicht im unteren Bereich der Mikrogräben und/oder Mikrozylinder in Kontakt mit einer Isolationsschicht ist und eine dünne leitfähige Schicht die leitfähige Schicht im Inneren und Äußeren der Mikrogräben und/oder Mikrozylinder überdeckt.Furthermore, according to the invention, a capacitor provided that a first in a limited Region of a substrate, one Dielectric formed on the first electrode Layer and one on the dielectric layer formed second electrode, the first Electrode a variety of micro-trenches and / or Contains microcylinders of the line layer, the Conduction layer in the lower area of the micro trenches and / or microcylinder in contact with one Is insulation layer and a thin conductive  Layer the conductive layer inside and outside the micro-trenches and / or micro-cylinders covered.

Weiterhin weist eine Halbleiterspeicherzelle gemäß der Erfindung einen Transfertransistor und einen Speicherkondensator auf, wobei der Transfertransistor Source- und Drainbereiche eines zweiten Leitfähigkeitstyp aufweist, die auf einem Halbleitersubstrat eines ersten Leitfähigkeitstyps gebildet sind, eine erste Leitfähigkeitsschicht benachbart zu den Source- und Drainbereichen und isoliert durch eine Gateoxidschicht von einem Kanalbereich zwischen dem Source- und Drainbereich hin gebildet ist, und eine erste Isolationsschicht die erste leitfähige Schicht überdeckt, wobei der Speicherkondensator eine zweite leitfähige Schicht aufweist, die mit dem Drainbereich in Kontakt ist und sich über die erste Isolationsschicht erstreckt, eine zweite Isolationsschicht die die zweite leitfähige Schicht überdeckt, eine Feldoxidschicht auf dem Substrat benachbart zu dem Sourcebereich gebildet ist, eine erste Elektrode aus einer leitfähigen Schicht den Sourcebereich kontaktiert, einen vorbestimmten Bereich der ersten leitfähigen Schicht überlappt und sich oberhalb der Feldoxidschicht erstreckt, eine dielektrische Schicht die erste Elektrode überdeckt, und eine zweite Elektrode die dielektrische Schicht überdeckt, wobei die erste Elektrode eine Vielzahl von Mikrogräben und/oder Mikrozylindern der leitfähigen Schicht aufweist, die leitfähige Schicht in unteren Abschnitten der Mikrogräben und/oder Mikrozylinder in Kontakt mit der Isolationsschicht ist, und eine dünne leitfähige Schicht die leitfähige Schicht im Inneren und Äußeren der Mikrogräben und/oder Mikrozylinder überdeckt.Furthermore, a semiconductor memory cell according to the invention a transfer transistor and Storage capacitor on, the transfer transistor Source and drain areas of a second Has conductivity type based on a Semiconductor substrate of a first conductivity type are formed, a first conductivity layer adjacent to the source and drain areas and isolated by a gate oxide layer from one Channel area between the source and drain areas is formed and a first insulation layer covers the first conductive layer, the Storage capacitor a second conductive layer which is in contact with the drain region and extends over the first insulation layer, one second insulation layer which is the second conductive Layer covers a field oxide layer on the Substrate formed adjacent to the source region is a first electrode made of a conductive Layer contacted the source area, one predetermined area of the first conductive layer overlaps and above the field oxide layer extends, a dielectric layer the first Electrode covers, and a second electrode dielectric layer covered, the first Electrode a variety of micro-trenches and / or Microcylinders of the conductive layer, which conductive layer in lower sections of the Micro trenches and / or micro cylinders in contact with the Insulation layer, and a thin conductive  Layer the conductive layer inside and outside the micro-trenches and / or micro-cylinders covered.

Gemäß eines weiteren Aspekts der Erfindung weist eine Halbleiterspeicherzelle einen Transfertransistor und einen Speicherkondensator auf, wobei der Transfertransistor Source- und Drainbereiche eines zweiten Leitfähigkeitstyps aufweist, die auf einem Halbleitersubstrat eines ersten Leitfähigkeitstyps gebildet sind, eine erste zu den Source- und Drainbereichen benachbarte und durch eine Gateoxidschicht von einem Kanalbereich isolierte Leitungsschicht zwischen den Source- und Drainbereichen gebildet ist, und eine Isolationsschicht die die erste Leitungsschicht überdeckt, wobei der Speicherkondensator eine auf dem Substrat gebildete Feldoxidschicht aufweist, welche benachbart zum Sourcebereich gebildet ist, eine erste Elektrode aus einer Leitungsschicht den Sourcebereich kontaktiert, die erste Elektrode einen vorbestimmten Abschnitt der ersten Leitungsschicht überdeckt und sich über die Feldoxidschicht erstreckt, eine dielektrische Schicht die erste Elektrode bedeckt, und eine zweite Elektrode die dielektrische Schicht bedeckt, wobei die erste Elektrode eine Vielzahl von Mikrogräben und/oder Mikrozylindern der Leitungsschicht aufweist, wobei diese in unteren Bereichen der Mikrogräben und/oder Mikrozylindern in Kontakt mit der Isolationsschicht ist und eine dünne Leitungsschicht die Leitungsschicht im Inneren und Äußeren der Mikrogräben und/oder Mikrozylinder bedeckt. According to a further aspect of the invention, a Semiconductor memory cell a transfer transistor and a storage capacitor, the Transfer transistor source and drain regions of one second conductivity type, which on a Semiconductor substrate of a first conductivity type are formed, a first to the source and Drain areas adjacent and through a Gate oxide layer isolated from a channel region Line layer between the source and Drain areas is formed, and a Insulation layer which is the first conduction layer covered, the storage capacitor one on the Field oxide layer formed substrate, which is formed adjacent to the source region, a first Electrode from a conductive layer the source area contacted, the first electrode a predetermined Section of the first line layer covered and extends over the field oxide layer, one dielectric layer covers the first electrode, and a second electrode the dielectric layer covered, the first electrode being a plurality of Micro trenches and / or micro cylinders of the Has line layer, these in lower Areas of the micro trenches and / or micro cylinders in Contact with the insulation layer is thin Line layer the line layer inside and Exterior of the micro trenches and / or micro cylinders covered.  

Weiter ist gemäß der Erfindung ein Verfahren zur Herstellung einer Speicherelektrode mit einer Vielzahl von Mikrogräben und/oder Mikrozylinder bereitgestellt, mit den Verfahrensschritten: Bilden von Körnern auf einer Oberfläche der Speicherelektrode, Bilden einer Ätzmaskierungsschicht auf Seitenwänden der entsprechenden Körner und Durchführen eines anisotropen Ätzens durch Verwendung durch Ätzmaskenschicht als Maske.Furthermore, according to the invention, a method for Production of a storage electrode with a Variety of micro trenches and / or micro cylinders provided, with the process steps: formation of grains on a surface of the Storage electrode, forming an etch masking layer on the side walls of the corresponding grains and Perform anisotropic etching by use through etching mask layer as a mask.

Weiterhin wird gemäß der Erfindung ein Verfahren zur Bildung einer Speicherelektrode mit einer Vielzahl von Mikrogräben und/oder Mikrozylindern bereitgestellt, mit den Verfahrensschritten: Einebnen einer Oberfläche einer Isolationsschicht, auf der die Speicherelektrode gebildet werden soll, Bilden von Körnern auf einer Oberfläche der Speicherelektrode, Bilden einer Ätzmaskenschicht auf Seitenwänden der entsprechenden Körner, Durchführen eines anisotropen Ätzens durch Verbindung der Ätzmaskenschicht als Maske, um schraublochähnliche Löcher zu bilden, die durch die Speicherelektrode dringen, um die Isolationsschicht in ihnen aufzubringen, und Bilden einer dünnen Leitungsschicht von Polysilizium, die das Innere und Äußere der Schraubenloch ähnlichen Löcher bedeckt.Furthermore, according to the invention, a method for Formation of a storage electrode with a variety of micro trenches and / or micro cylinders provided with the procedural steps: Flattening a surface of an insulation layer, on which the storage electrode is formed intended to form grains on a surface of the Storage electrode, forming an etching mask layer Sidewalls of the corresponding grains, performing an anisotropic etching by combining the Etching mask layer as a mask, to screw hole-like To form holes through the storage electrode penetrate to the insulation layer in them to apply, and forming a thin conduction layer of polysilicon covering the inside and outside of the Screw hole-like holes covered.

Weiterhin wird ein Verfahren gemäß der Erfindung zur Herstellung eines Speicherkondensators zur Verwendung in einer Halbleiterspeichereinrichtung durch Verwenden einer Polysiliziumschicht mit einer Vielzahl von hemisphärischen Körnern bereitgestellt, mit den Verfahrensschritten: Bilden einer Ätzmaskenschicht auf der Oberfläche der hemispherischen Körner, Strukturieren der Polysiliziumschicht, Durchführen eines anisotropen Ätzens durch Verwendung der Ätzmaskenschicht als Maske und Entfernen der Ätzmaskenschicht zur Bildung einer Speicherelektrode.Furthermore, a method according to the invention for Manufacture of a storage capacitor for use in a semiconductor memory device Use a polysilicon layer with a Variety of hemispherical grains provided with the procedural steps: forming one  Etching mask layer on the surface of the hemispherical grains, structuring the Polysilicon layer, performing an anisotropic Etching by using the etching mask layer as Mask and remove the etch mask layer to form a storage electrode.

Gemäß eines weiteren Aspekts der Erfindung wird ein Verfahren zur Bildung einer ersten Elektrode der Speicherelektrode in einer Halbleiterspeichereinrichtung mit den folgenden Verfahrensschritten bereitgestellt: Bilden einer den Sourcebereich kontaktierenden Polysiliziumschicht, welche eine Vielzahl von hemispherischen Körnern auf ihrer Oberfläche aufweist und die zweite Isolationsschicht bedeckt, Bilden einer Ätzmaskenschicht auf der Oberfläche der hemisphärischen Körner, Strukturieren der Polysiliziumschicht, Durchführen eines anisotropen Ätzens durch Verwendung der Ätzmaskenschicht als Maske und Entfernen der Ätzmaskenschicht.According to another aspect of the invention, a Process for forming a first electrode of the Storage electrode in one A semiconductor memory device having the following Method steps provided: Form a Source region contacting polysilicon layer, which have a variety of hemispherical grains has its surface and the second Insulation layer covered, forming one Etching mask layer on the surface of the hemispherical grains, structuring the Polysilicon layer, performing an anisotropic Etching by using the etching mask layer as Mask and remove the etching mask layer.

Vorteilhafte Ausführungsformen der Erfindung werden im folgenden anhand der in der Zeichnung beigefügten Figuren näher erläutert und beschrieben.Advantageous embodiments of the invention in the following with the help of those attached in the drawing Figures explained and described in more detail.

Es zeigen:Show it:

Fig. 1 eine Draufsicht auf eine erfindungsgemäße DRAM-Speicherzelle; Fig. 1 is a plan view of an inventive DRAM memory cell;

Fig. 2 eine Querschnittsansicht entlang der Linie 2-2 aus Fig. 1; Fig. 2 is a cross-sectional view taken along line 2-2 of Fig. 1;

Fig. 3A bis 3C Herstellungsverfahren der in Fig. 2 dargestellten Struktur; Figs. 3A to 3C manufacturing method of the structure shown in Fig. 2;

Fig. 4A eine vergrößerte Darstellung einer Ausführungsform eines abgerundeten Bereichs (100) der Fig. 3B; FIG. 4A shows an enlarged illustration of an embodiment of a rounded region ( 100 ) of FIG. 3B;

Fig. 4B und 4C Darstellungen eines Herstellungsverfahrens eines Speicherkondensators, wobei in Fig. 4A dargestellte hemisphärische Körner kontinuierlich gebildet werden; FIG. 4B and 4C are representations of a manufacturing method of a storage capacitor, wherein in Figure 4A illustrated hemispherical grains are formed continuously.

Fig. 5A eine vergrößerte Darstellung einer weiteren Ausführungsform von gerundeten Bereichen (100) nach Fig. 3B; FIG. 5A shows an enlarged illustration of a further embodiment of rounded regions ( 100 ) according to FIG. 3B;

Fig. 5B und 5C Beispielhafte Darstellungen eines Herstellungsverfahrens eines Speicherkondensators, bei dem die in Fig. 5A dargestellten hemisphärischen Körner voneinander beabstandet sind; FIG. 5B, and 5C are explanatory views showing a manufacturing method of a storage capacitor in which the hemispherical grains shown in Figure 5A are spaced apart.

Fig. 6 eine Draufsicht auf eine weitere Ausführungsform einer erfindungsgemäßen DRAM-Speicherzelle; Fig. 6 is a plan view of another embodiment of a DRAM memory cell according to the invention;

Fig. 7 einen Querschnitt entlang der Linie 3-3 aus Fig. 6; FIG. 7 shows a cross section along the line 3-3 from FIG. 6;

Fig. 8A bis 8D eine beispielhafte Darstellung eines Herstellungsverfahrens der Struktur aus Fig. 7; Figs. 8A to 8D, an exemplary illustration of a manufacturing method of the structure of FIG. 7;

Fig. 9A eine vergrößerte Darstellung einer Ausführungsform mit einem gerundeten Bereich (500) nach Fig. 8D; FIG. 9A shows an enlarged illustration of an embodiment with a rounded region ( 500 ) according to FIG. 8D;

Fig. 9B und 9C beispielhafte Darstellungen eines Herstellungsverfahrens einer Speicherelektrode, wobei die in Fig. 9A dargestellten hemisphärischen Körner kontinuierlich gebildet sind; Fig. 9B and 9C are explanatory views showing a manufacturing method of a storage electrode, wherein the hemispherical grains shown in Figure 9A are formed continuously.

Fig. 10A eine vergrößerte Darstellung einer weiteren Ausführungsform des gerundeten Bereichs (500) nach Fig. 8C; 10A is an enlarged view of a further embodiment of the rounded portion (500) of FIG. 8C.

Fig. 10B und 10C beispielhafte Darstellung eines Herstellungsverfahrens eines Speicherkondensators, bei dem die in Fig. 10A dargestellten hemisphärischen Körner voneinander beabstandet sind; FIG. 10B and 10C exemplary illustration of a manufacturing method of a storage capacitor in which the hemispherical grains shown in Figure 10A are spaced from each other.

Fig. 11A bis 11D eine weitere Darstellung eines Herstellungsverfahrens der Struktur nach Fig. 7; 11A to 11D is a further illustration of a manufacturing method of the structure of FIG. 7.

Fig. 12A eine vergrößerte Darstellung eines gerundeten Bereiches entsprechend zur Fig. 11B; FIG. 12A is an enlarged illustration of a rounded area corresponding to FIG. 11B;

Fig. 12B bis 12I beispielhafte Darstellungen eines Herstellungsverfahrens eines Speicherkondensators, wobei die in Fig. 12A hemisphärischen Körner voneinander beabstandet sind; FIG. 12B to 12I are explanatory views showing a manufacturing method of a storage capacitor, wherein the hemispherical in Figure 12A grains are spaced from each other.

Fig. 13A bis 13F weitere exemplarische Darstellungen eines Herstellungsverfahrens eines Speicherkondensators gemäß der Erfindung; FIG. 13A to 13F, further exemplary illustrations of a manufacturing method of a storage capacitor in accordance with the invention;

Fig. 14A bis 14H weitere beispielhafte Darstellungen eines Herstellungsverfahrens eines Speicherkondensators gemäß der Erfindung, und FIG. 14A to 14H another exemplary illustrations of a manufacturing method of a storage capacitor according to the invention, and

Fig. 15 eine Draufsicht zur Darstellung des in Fig. 14C verwendeten Ätzmusters. FIG. 15 is a plan view showing the etching pattern used in FIG. 14C.

Gemäß Fig. 1 und 2 ist eine abgelegte Oxidschicht 12 zur Bestimmung eines Speicherzellenbereiches auf einem p-Typ Halbleitersubstrat 10 gebildet. Das Halbleitersubstrat 10 kann ein p-Typ Grabenbereich sein. Ein Transfertransistor ist in einem Aktivbereich gebildet. Der Transistor weist einen N- Typ Sourcebereich 16 benachbart zu der Feldoxidschicht 12, einen N-Typ Drainbereich 20, der vom Sourcebereich 16 durch einen N-Kanal-Bereich 18 getrennt ist, und eine Gateelektrode 24, die auf einer Gateoxidschicht 22 über dem Kanalbereich 18 und benachbart zu den Source- und Drainbereichen 16 und 20 gebildet ist. Der Aktivbereich 14 ist auf einer Hauptoberfläche des Halbleitersubstrates 10 gebildet, die von der Feldoxidschicht 12 umgeben ist. Die Gateelektrode 24 ist mit einer Wortleitung 26 verbunden. Eine Wortleitung 28, die mit einer Gateelektrode eines Transfertransistors in einem benachbarten Aktivbereich verbunden ist, ist auf der Feldoxidschicht 12 gebildet. Die Gateelektrode 24 ist durch eine Isolationsoxidschicht 30 von der Wortleitung 28 isoliert. Die Isolationsoxidschicht 30 weist eine Öffnung 32 zum Freilegen eines Teils des Sourcebereiches 16 auf. Eine erste Elektrode einer Speicherelektrode 36 kontaktiert den Sourcebereich 16 in einem Sourcekontaktbereich 34 durch die Öffnung 32 und definiert den Speicherkondensatorbereich 38, der sich über die benachbarte Gateelektrode 24 und die Wortleitung 28 erstreckt. Gemäß der vorliegenden Erfindung weist ein oberer Bereich der Speicherelektrode 36 eine Anzahl von Mikrogräben oder Mikrozylindern auf, um die Oberfläche der Speicherelektroden zu vergrößern, wie im folgenden im Detail beschrieben wird. Eine dielektrische Schicht 40 ist auf der Oberfläche der Speicherelektrode 36 und eine Plattenelektrodenschicht 42 ist auf der dielektrischen Schicht 40 gebildet. Demgemäß weist der Speicherkondensator 44 die Speicherelektrode 36, die dielektrische Schicht 40 und die Plattenelektrodenschicht 42 auf. Eine Schutzschicht 46 ist auf der zweiten Elektrode der Plattenelektrode 42 und einem freigelegten Bereich der Isolationsoxidschicht 30 gebildet. Die Schutzschicht 46 weist eine Öffnung 50 auf, die benachbart zum Drainbereich 20 des Transfertransistors angeordnet ist und die einen hochdotierten N⁺-Bereich 48 freilegt, der sich über die Oberfläche des Halbleitersubstrates 10 erstreckt. Ein aus einem leitfähigen Material gebildete Bitleitung 42 kontaktiert den N⁺-Bereich 48 in einem Bitleitungskontaktbereich 54 durch die Öffnung 50 und kreuzt die Wortleitungen 26, 28, wobei sie sich über die Schutzschicht 46 bandförmig erstreckt. Eine zweite Schutzschicht (nicht dargestellt) bedeckt die Bitleitung 52.According to Fig. 1 and 2, a deposited oxide film 12 is formed for determining a memory cell region on a p-type semiconductor substrate 10. The semiconductor substrate 10 may be a p-type trench region. A transfer transistor is formed in an active area. The transistor has an N-type source region 16 adjacent to the field oxide layer 12 , an N-type drain region 20 , which is separated from the source region 16 by an N-channel region 18 , and a gate electrode 24 , which is on a gate oxide layer 22 over the channel region 18 and is formed adjacent to the source and drain regions 16 and 20 . The active region 14 is formed on a main surface of the semiconductor substrate 10 , which is surrounded by the field oxide layer 12 . The gate electrode 24 is connected to a word line 26 . A word line 28 , which is connected to a gate electrode of a transfer transistor in an adjacent active region, is formed on the field oxide layer 12 . The gate electrode 24 is insulated from the word line 28 by an insulation oxide layer 30 . The insulation oxide layer 30 has an opening 32 for exposing a part of the source region 16 . A first electrode of a storage electrode 36 contacts the source region 16 in a source contact region 34 through the opening 32 and defines the storage capacitor region 38 , which extends over the adjacent gate electrode 24 and the word line 28 . According to the present invention, an upper region of the storage electrode 36 has a number of micro-trenches or micro-cylinders to enlarge the surface of the storage electrodes, as will be described in detail below. A dielectric layer 40 is formed on the surface of the storage electrode 36 and a plate electrode layer 42 is formed on the dielectric layer 40 . Accordingly, the storage capacitor 44 has the storage electrode 36 , the dielectric layer 40, and the plate electrode layer 42 . A protective layer 46 is formed on the second electrode of the plate electrode 42 and an exposed area of the insulation oxide layer 30 . The protective layer 46 has an opening 50 , which is arranged adjacent to the drain region 20 of the transfer transistor and which exposes a highly doped N⁺ region 48 which extends over the surface of the semiconductor substrate 10 . A bit line 42 formed from a conductive material contacts the N⁺ region 48 in a bit line contact region 54 through the opening 50 and crosses the word lines 26 , 28 , wherein it extends in a band-like manner over the protective layer 46 . A second protective layer (not shown) covers bit line 52 .

Wie vorstehend beschrieben weist eine DRAM- Speicherzelle gemäß der Erfindung einen Transistor und einen Kondensator auf. Der Kondensator ist ein gestapelter Kondensator mit einer Speicherelektrode mit einer Vielzahl von Mikrogräben auf einer Fläche von 0,4·1,2 µm2, die von dem Speicherkondensatorbereich 38 eingenommen wird. Allerdings soll angemerkt werden, daß die vorliegende Erfindung nicht restrektiv im Hinblick auf eine Vergrößerung der Fläche der Speicherelektrode ist.As described above, a DRAM memory cell according to the invention has a transistor and a capacitor. The capacitor is a stacked capacitor with a storage electrode with a multiplicity of micro-trenches on an area of 0.4 × 1.2 μm 2 , which is occupied by the storage capacitor region 38 . However, it should be noted that the present invention is not fully reactive in terms of increasing the area of the storage electrode.

Gemäß Fig. 3A bis 3C, 4A bis 4C und 5A bis 5C wird ein Herstellungsverfahren der erfindungsgemäßen DRAM- Speicherzelle im Detail beschrieben. Da allerdings die Betriebsweise der DRAM-Speicherzelle wohl bekannt ist, wird auf eine detaillierte Beschreibung der Betriebsweise verzichtet.Referring to FIG. 3A to 3C, 4A to 4C and 5A to 5C, a manufacturing method of the present invention DRAM memory cell is described in detail. However, since the operation of the DRAM memory cell is well known, the operation is not described in detail.

Gemäß Fig. 3A ist ein Paar von Transfertransistoren auf einem Halbleitersubstrat dargestellt. Auch wenn das Herstellungsverfahren gut bekannt ist, wird es im folgenden kurz beschrieben.Referring to FIG. 3A, a pair of transfer transistors is shown on a semiconductor substrate. Although the manufacturing process is well known, it will be briefly described below.

Das Substrat 10 ist ein P-Typ Graben mit einer Konzentration 4 bis 5·1016 Atomen/cm3, der in einem P-Typ Siliziumwafer mit einer Kristalloberfläche (1,0,0) und einer Konzentration von 1×1015 Atomen/cm3 gebildet ist. Die Feldoxidschicht 12 ist mit einer Dicke von 3000 Å ist auf einem Teil des Substrates 10 zur Definierung des Aktivbereiches 14 gebildet. Dann wird eine Gateoxidschicht 22 mit einer Dicke von 150 Å auf dem Halbleitersubstrat in dem Aktivbereich 14 durch bekannte Trockenoxidation mit O2 gebildet und eine Phosphor dotierte Polysiliziumschicht wird auf dem Halbleitersubstrat 10 aufgetragen, um die Gateelektrode 24 zu bilden. Nach Auftragen des Polysiliziums werden die Gateelektrode 24 oder die Wortleitung 26 und die Wortleitung 28 durch konventionelles Photoätzen strukturiert. Durch das Strukturierverfahren wird die Gateoxidschicht außerhalb eines tieferen Bereiches der Gateelektrode 24 und der Wortleitungen 26 und 28 entfernt, um das Substrat 10 im Aktivbereich 14 freizulegen. Dann werden Phosphorionen mit einer Dosis von 1,6×1013 Ionen/cm2 unter 60 KeV implantiert, um die Source- und Drainbereiche 16 und 20 zu bilden. Hach der Phosphorionenimplantation wird eine SiO2-Isolationsschicht 30 von 2700 Å Dicke gleichmäßig durch LPCVD bei ungefähr 820°C aufgetragen, um die Gateelektrode 24, die Wortleitungen 26, 28 und die Ionen implantierten Source- und Drainbereiche 16 und 20 zu isolieren.The substrate 10 is a P-type trench with a concentration of 4 to 5 × 10 16 atoms / cm 3 , which is in a P-type silicon wafer with a crystal surface (1,0,0) and a concentration of 1 × 10 15 atoms / cm 3 is formed. The field oxide layer 12 is formed with a thickness of 3000 Å on a part of the substrate 10 for defining the active region 14 . Then, a gate oxide layer 22 having a thickness of 150 Å is formed on the semiconductor substrate in the active region 14 by known dry oxidation with O 2 , and a phosphorus-doped polysilicon layer is deposited on the semiconductor substrate 10 to form the gate electrode 24 . After the polysilicon has been applied, the gate electrode 24 or the word line 26 and the word line 28 are structured by conventional photoetching. The structuring method removes the gate oxide layer outside a deeper region of the gate electrode 24 and the word lines 26 and 28 in order to expose the substrate 10 in the active region 14 . Phosphorus ions are then implanted at a dose of 1.6 x 10 13 ions / cm 2 below 60 KeV to form the source and drain regions 16 and 20 . After the phosphorus ion implantation, an SiO 2 isolation layer 30 of 2700 Å thick is evenly deposited by LPCVD at about 820 ° C to isolate the gate electrode 24 , word lines 26 , 28 and the ion implanted source and drain regions 16 and 20 .

Gemäß Fig. 3B wird nach Bilden der Isolationsoxidschicht 30 die Öffnung 32 zum Freilegen eines Teils der Oberfläche des Sourcebereiches 16 durch die Isolationsoxidschicht 30 durch konventionelles Photoätzen gebildet. Nach Entfernen des Photolacks, der zur Bildung der Öffnung 32 verwendet wurde, wird eine Polysiliziumschicht 56 von 2500 Å mit einer Anzahl von hemisphärischen Körnern auf ihrer Oberfläche auf dem Substrat gebildet, die mit dem Sourcekontaktbereich 34 durch die Öffnung 32 in Kontakt steht. Eine eine solche Oberflächenstruktur aufweisende Polysiliziumschicht kann durch LPCVD aufgetragen werden, unter Verwendung von Helium gepufferten SiH4 (20%) bei 550°C unter atmosphärischen Druck von einem Bar (siehe IEEE Trans. on Electron Devices, Vol. ED-36, Nr. 2, Seiten 351 bis 353, 1989, oder SSDM, Seiten 863 bis 876, 1990). Alternativ können die Polysiliziumschicht 56 durch Auftragen von Polysilizium mit einer Dicke von 1000 Å bei einer konventionellen Temperaturbedingung (über 600°C) für die Polysiliziumablagerung hergestellt werden. Dann wird auf der Polysiliziumoberfläche ein Polysilizium mit einer Dicke von ungefähr 1500 Å mit einer Anzahl von hemisphärischen Körnern auf dessen Oberfläche aufgetragen. Bevorzugt ist der Durchmesser oder die Höhe der hemisphärischen Körner ungefähr 0,07 bis 0,15 µm. Nach Bilden der Polysiliziumschicht 56 werden Arsenionen mit einer Dosis von 3×1015 Ionen/cm2 unter 100 KeV implantiert, um die Polysiliziumschicht 56 zu dotieren. Auch wenn die Polysiliziumschicht 56 mit Phosphorverunreinigungen dotiert werden kann, ist es vorteilhaft, Arsenverunreinigungen zu dotieren, um eine gute Mikrograbenstruktur auf der Polysiliziumschicht 56 zu bilden. Dann wird eine Maskenschicht 58 aus SiO2 von 300 Å Dicke auf der dotierten Polysiliziumschicht 56 durch bekannte chemische Dampfabscheidung (CVD) abgelagert. Eine dielektrische Substanz mit einer hohen Dielektrizitätskonstante wie Si3N4 oder Ta2O5 kann als Maskenschicht 58 verwendet werden. Allerdings bei Betrachtung des Ätzverfahrens zur Bildung der Mikrogräben vorteilhaft, eine dielektrische Substanz mit einer hohen Selektivität bezüglich Polysilizium/dielektrische Substanz zu verwenden. Hach Ablagerung der Maskenschicht 58 wird ein Strukturierverfahren durchgeführt, um die Speicherkondensatorfläche 38 durch konventionelles Photoätzen zu bestimmen. Als Ergebnis weist die strukturierte Polysiliziumschicht 56 die in Fig. 3B gezeigten Mikrogräben auf und die strukturierte Maskenschicht 58 aus SiO2 ist gebildet.According to Fig. 3B, the isolation oxide is formed the opening 32 30 for exposing a part of the surface of the source region 16 through the insulating oxide layer 30 by conventional photo-etching after forming. After removal of the photoresist used to form the opening 32 , a 2500 Å polysilicon layer 56 is formed with a number of hemispherical grains on its surface on the substrate which is in contact with the source contact region 34 through the opening 32 . Such a polysilicon layer having such a surface structure can be applied by LPCVD, using helium-buffered SiH4 (20%) at 550 ° C. under atmospheric pressure from a bar (see IEEE Trans. On Electron Devices, Vol. ED-36, No. 2 , Pages 351 to 353, 1989, or SSDM, pages 863 to 876, 1990). Alternatively, polysilicon layer 56 may be formed by depositing 1000 Å thick polysilicon under a conventional temperature condition (above 600 ° C.) for polysilicon deposition. Then, a polysilicon approximately 1500 Å thick with a number of hemispherical grains is deposited on the surface thereof on the polysilicon surface. The diameter or the height of the hemispherical grains is preferably approximately 0.07 to 0.15 μm. After the polysilicon layer 56 has been formed , arsenic ions are implanted at a dose of 3 × 10 15 ions / cm 2 below 100 KeV in order to dope the polysilicon layer 56 . Even though the polysilicon layer 56 can be doped with phosphorus impurities, it is advantageous to dope arsenic impurities in order to form a good micro-trench structure on the polysilicon layer 56 . Then, a 300 Å thick SiO 2 mask layer 58 is deposited on the doped polysilicon layer 56 by known chemical vapor deposition (CVD). A dielectric substance with a high dielectric constant, such as Si 3 N 4 or Ta 2 O 5, can be used as the mask layer 58 . However, when considering the etching process for forming the micro-trenches, it is advantageous to use a dielectric substance with a high selectivity with regard to polysilicon / dielectric substance. After the mask layer 58 has been deposited, a structuring process is carried out in order to determine the storage capacitor area 38 by conventional photoetching. As a result, the patterned polysilicon layer 56 has the micro-trenches shown in FIG. 3B, and the patterned mask layer 58 is formed of SiO 2 .

Im folgenden wird ein Ätzverfahren zur Bildung von Mikrogräben gemäß der Erfindung im Detail im Hinblick auf die Fig. 4A bis 4C und 5A bis 5C beschrieben. Fig. 4A und 5A sind vergrößerte Darstellungen verschiedener Ausführungsformen eines gerundeten Bereiches 100, wie er in Fig. 3B beschrieben wurde.An etching method for forming micro-trenches according to the invention is described in detail below with reference to FIGS . 4A to 4C and 5A to 5C. FIGS. 4A and 5A are enlarged views of various embodiments of a rounded portion 100 as described in Fig. 3B.

Fig. 5A zeigt die Anordnung der Körner in dem Fall, in dem der Abstand S zwischen den hemispherischen Körnern größer als zweimal die Dicke X der Maskenschicht 58 aus SiO2 (das heißt, S 2X), ist und Fig. 4A zeigt die Anordnung der Körner in dem Fall, in dem die Entfernung S gleich Null ist. Fig. 5A shows the arrangement of the grains in the case where the distance S between the hemispherical grains is greater than twice the thickness X of the mask layer 58 made of SiO 2 (i.e., S 2X), and Fig. 4A shows the arrangement of the Grains in the case where the distance S is zero.

In der Praxis, wenn die Polysiliziumschicht 56 durch LECVD in einem Temperaturbereich abgeschieden wird, in dem die Polysiliziumschicht 56 aus dem nichtkristallinen in den kristallinen Zustand übergeht, wird der Abstand S zwischen den Körnern eine Mischung aus den Zuständen S = 0 und S < X. Das heißt, die Anordnung der in den Fig. 4A und 5A gezeigten Körner kann zur gleichen Zeit erfolgen. In practice, when the polysilicon layer 56 is deposited by LECVD in a temperature range in which the polysilicon layer 56 changes from the non-crystalline to the crystalline state, the distance S between the grains becomes a mixture of the states S = 0 and S <X. That is, the arrangement of the grains shown in Figs. 4A and 5A can be done at the same time.

Gemäß Fig. 4A wird ein SiO2-Rückätzprozeß zur Bildung einer Seitenwand bei dem bekannten LDD MOSFET (MOSFET mit leichtdotierten Drain)- Herstellungsverfahren bezüglich der Polysiliziumoxidschicht 58 durchgeführt, um bei der Dicke X (= 300 Å) das Ätzen einzustellen. Ist die SiO2-Schicht 58 aufgetragen, ist das Ergebnis des Rückätzverfahrens, das die Ätzmaske 52 verbleibt und die oberen Bereiche 66 der Körner entsprechend zur Fig. 4B freigelegt sind, da die SiO2-Schicht dicker in den Tälern zwischen den Polysiliziumkörnern aufgetragen worden ist. Dann wird ein anisotropes Ätzen mit Selektivität von 40 im Hinblick auf Polysilizium/SiO2 durchgeführt, um Vertiefungen von 0,2 µm Dicke zu bilden. Ein solches Ätzen wird durch Verwendung des Modells Nr. "Rainbow 4400" von LAM Co. bei einer Leistung von 200 Watt durchgeführt, wobei ein hemisphärischer Druck von 350 Millibar und ein Mischungsgas aus HBR (Wasserstoffbromid) : Cl2=40 SGGM : 120 SGGM verwendet wird. Als Ergebnis werden Vertiefungen in der Form eines auf dem Kopf stehenden T gebildet mit zylindrischen Zwischenwänden im Polysilizium gemäß Fig. 4C und hemisphärischen Bereichen 64 entsprechend zu den freiliegenden Körnern 66 sind auf den Bodenflächen der Vertiefungen gebildet, wodurch die Oberfläche der Speicherelektrode 36 weiter anwächst. Nach Bilden solcher Mikrogräben, wird eine Si3H4-Schicht von ungefähr 70 Å Dicke auf der Oberfläche der Speicherelektrode durch konventionelles CVD gebildet und eine dielektrische Schicht 40 einer N-O-Struktur (oder einer O-N-O-Struktur, wenn eine natürlich oxidierte SiO2-Schicht hinzugefügt wird) von einer ungefähr 20 Å dicken SiO2-Schicht, die durch Wärmeoxidation der Oberfläche der Si3N4-Schicht erhalten wird, wird aufgetragen. Dann wird eine dotierte Polysiliziumschicht auf der dielektrischen Schicht 40 durch eine bekannte Technik gebildet und die dotierte Polysiliziumschicht wird durch bekanntes Photoätzen strukturiert, um die Plattenelektrode 42 zu bilden.Referring to FIG. 4A, a SiO 2 -Rückätzprozeß to form a side wall in the conventional LDD MOSFET (MOSFET with lightly doped drain) - carried out manufacturing process with respect to the Polysiliziumoxidschicht 58 to adjust the etching in the thickness X (= 300 Å). If the SiO 2 layer 58 is applied, the result of the etching back process is that the etching mask 52 remains and the upper regions 66 of the grains are exposed in accordance with FIG. 4B, since the SiO 2 layer has been applied thicker in the valleys between the polysilicon grains is. An anisotropic etch with selectivity of 40 with respect to polysilicon / SiO 2 is then carried out to form depressions 0.2 μm thick. Such etching is performed using LAM Co.'s Model No. "Rainbow 4400" at a power of 200 watts, with a hemispherical pressure of 350 millibars and a mixed gas of HBR (hydrogen bromide): Cl 2 = 40 SGGM: 120 SGGM is used. As a result, depressions in the shape of an upside down T are formed with cylindrical partition walls in the polysilicon shown in FIG. 4C and hemispherical regions 64 corresponding to the exposed grains 66 are formed on the bottom surfaces of the depressions, whereby the surface of the storage electrode 36 continues to grow. After forming such micro-trenches, an Si 3 H 4 layer approximately 70 Å thick is formed on the surface of the storage electrode by conventional CVD and a dielectric layer 40 of an NO structure (or an ONO structure if a naturally oxidized SiO 2 - Layer) is applied from an approximately 20 Å thick SiO 2 layer, which is obtained by heat oxidation of the surface of the Si 3 N 4 layer, is applied. Then, a doped polysilicon layer is formed on the dielectric layer 40 by a known technique, and the doped polysilicon layer is patterned by known photoetching to form the plate electrode 42 .

Im Falle der Fig. 5A bis 5C wird nach Rückätzen der Maskenschicht 58 eine Ätzmaskenschicht 62 auf den Seitenwänden der entsprechenden Körner 60 gemäß Fig. 5B gebildet und die oberen Bereiche 66 der Körner 60 und die Oberflächenbereiche 68 der Polysiliziumschicht 56, die zwischen den Körnern 60 abgelagert ist, werden freigelegt. Darauffolgend wird ein Ätzen im Nanometerbereich durchgeführt und als Ergebnis wird eine Speicherelektrode 36 mit einer Vielzahl von Mikrozylindern 70 gemäß Fig. 5C gebildet. Auch in diesem Fall werden die hemisphärischen Bereiche 64, die der Form der freigelegten oberen Bereiche 66 entsprechen, auf der Bodenfläche der Zylinder 70 gebildet. Allerdings werden die Bodenflächen 80 außerhalb der Mikrozylinder 70 tiefer geätzt als die hemisphärischen Bereiche 64. Folglich kann die Herstellung der Mikrogräben oder Mikrozylinder durch ein selbstausgerichtetes Ätzverfahren ohne Verwendung eines Photolacks erreicht werden, wodurch der Herstellungsprozeß vereinfacht wird. In the case of FIGS . 5A to 5C, after etching back the mask layer 58, an etching mask layer 62 is formed on the side walls of the corresponding grains 60 according to FIG. 5B, and the upper regions 66 of the grains 60 and the surface regions 68 of the polysilicon layer 56 which are between the grains 60 is deposited, are exposed. Subsequently, etching in the nanometer range is carried out, and as a result, a storage electrode 36 with a plurality of microcylinders 70 as shown in FIG. 5C is formed. In this case too, the hemispherical regions 64 , which correspond to the shape of the exposed upper regions 66 , are formed on the bottom surface of the cylinders 70 . However, the bottom surfaces 80 outside the microcylinder 70 are etched deeper than the hemispherical regions 64 . As a result, the manufacture of the micro-trenches or micro-cylinders can be accomplished by a self-aligned etching process without using a photoresist, thereby simplifying the manufacturing process.

In dem Fall, in dem die Strukturen der Fig. 4A und Fig. 5A gemischt sind, kann eine Anzahl von Mikrozylindern und von Polen mit einer Anzahl von Mikrogräben nach einem anisotropen Ätzen erhalten werden.In the case where the structures of Fig. 4A and Fig. 5A are mixed, a number of micro cylinders and of poles having a number of micro-trenches by anisotropic etching can be obtained.

Danach werden die dielektrische Schicht 40 mit N-O oder O-N-O-Struktur und die Plattenelektrode 42 auf der Oberfläche der Speicherelektrode 36 nach einem vorbestimmten Verfahren gebildet.Thereafter, the dielectric layer 40 with NO or ONO structure and the plate electrode 42 are formed on the surface of the storage electrode 36 by a predetermined method.

Das Verfahren zur Herstellung eines gestapelten Kondensators mit einer SiO2-Ätzmaskenschicht 62 auf der Oberfläche der Speicherelektrode 36 ist beschrieben worden. Da allerdings die Ätzmaskenschicht 62 nicht die Rolle der dielektrischen Schicht übernehmen kann, wird die Ätzmaskenschicht 62 bevorzugt entfernt. Die SiO2- Ätzmaskenschicht 62 kann durch eine gepufferte HF- Lösung nach dem anisotropen Ätzverfahren entfernt werden.The method of manufacturing a stacked capacitor with an SiO 2 etch mask layer 62 on the surface of the storage electrode 36 has been described. However, since the etching mask layer 62 cannot assume the role of the dielectric layer, the etching mask layer 62 is preferably removed. The SiO 2 etching mask layer 62 can be removed by a buffered HF solution after the anisotropic etching process.

Im allgemeinen werden beim anistropen Ätzen scharfe Kanten an den geätzten Kantenbereichen zurückgelassen. Die scharfen Kanten können auch um andere Bereiche als die Eckenbereiche, die durch anistropes Ätzen beschädigt wurden, gebildet werden. Die Existenz solcher scharfen Kanten verhindert eine ausreichende Zuverlässigkeit der die Speicherelektrode 36 bedeckenden, dünnen dielektrischen Schicht 40 und weiterhin wird die Durchbruchsspannung des Speicherkondensators gesenkt. Generally, anisotropic etching leaves sharp edges on the etched edge areas. The sharp edges can also be formed around areas other than the corner areas that have been damaged by anistropic etching. The existence of such sharp edges prevents sufficient reliability of the thin dielectric layer 40 covering the storage electrode 36 , and furthermore the breakdown voltage of the storage capacitor is reduced.

Ein Verfahren zum Abrunden der scharfen Kanten kann vor Auftragen der dielektrischen Schicht 40 und nach Entfernen der Ätzmaskenschicht 62 durchgeführt werden (im Fall eines gestapelten Kondensators ohne Ätzmaskenschicht 62). Eine SiO2-Schicht von ungefähr 10 Å Dicke wird auf der Speicherelektrode 36 durch Eintauchen des Substrates in eine Mischlösung von HCL : H2O2 : H2O=1 : 1 : 6 bei einer Temperatur von 60°C bis 80°C durchgeführt. Danach werden die scharfen Kanten der während des chemischen Oxidationsprozesses gebildeten Oxidschicht mit der gepufferten HF-Lösung entfernt.A method for rounding the sharp edges can be carried out before the dielectric layer 40 is applied and after the etching mask layer 62 has been removed (in the case of a stacked capacitor without an etching mask layer 62 ). An SiO 2 layer approximately 10 Å thick is deposited on the storage electrode 36 by immersing the substrate in a mixed solution of HCL: H 2 O 2 : H 2 O = 1: 1: 6 at a temperature of 60 ° C to 80 ° C carried out. Then the sharp edges of the oxide layer formed during the chemical oxidation process are removed with the buffered HF solution.

Die Ausführungsform gemäß der vorliegenden Erfindung bildet eine 2500 Å dicke Polysiliziumschicht 56 mit hemisphärischen Körnern und ätzt die Vertiefungen bis eine Tiefe von 2000 Å. Allerdings sei angemerkt, daß die vorliegende Erfindung nicht auf diesen numerischen Werten beschränkt ist. Durch Vergrößerung der Dicke der Polysiliziumschicht 56 und durch tieferes Ätzen der Gräben abhängig von der Selektivität des Polysiliziums/dielektrische Substanz, kann die Oberfläche der Speicherelektrode 36 weiter anwachsen.The embodiment according to the present invention forms a 2500 Å thick polysilicon layer 56 with hemispherical grains and etches the recesses to a depth of 2000 Å. However, it should be noted that the present invention is not limited to these numerical values. By increasing the thickness of the polysilicon layer 56 and by deeply etching the trenches depending on the selectivity of the polysilicon / dielectric substance, the surface of the storage electrode 36 can continue to grow.

Gemäß Fig. 3C wird die oben beschriebene Plattenelektrode 42 dargestellt. Der nächste Verfahrensschritt betrifft ein Rückflußverfahren zum Auftragen einer Schutzschicht wie BPSG (Borphosphorsilikatglas) oder PSG auf dem Substrat 10, um die Einrichtung einzuebnen. Dann wird Öffnung 50 durch eine bekannte Technik wie in Fig. 2 gebildet und ein N⁺-Bereich 48 wird durch die Öffnung 59 gebildet. Dann wird eine Bitleitung 52 aus Aluminium gebildet, die mit dem N⁺-Bereich 48 in Kontakt ist.Referring to FIG. 3C, the plate electrode 42 described above is shown. The next process step relates to a reflux process for applying a protective layer such as BPSG (borophosphosilicate glass) or PSG on the substrate 10 in order to level the device. Then opening 50 is formed by a known technique as in FIG. 2 and an N⁺ region 48 is formed through opening 59 . Then, a bit line 52 made of aluminum is formed which is in contact with the N⁺ region 48 .

Bei der Ausführungsform der Erfindung überlappt Bitleitung 52 und erstreckt sich über den Transfertransistor und den gestapelten Kondensator 44 und die Gateelektrode des Transfertransistors ist aus Polysilizium. Allerdings ist die Erfindung nicht auf eine solche Struktur beschränkt. Außerdem kann das die erste Elektrode bildende Polysilizium durch ein rekristallisiertes Silizium ersetzt werden.In the embodiment of the invention, bit line 52 overlaps and extends across the transfer transistor and stacked capacitor 44, and the gate electrode of the transfer transistor is made of polysilicon. However, the invention is not limited to such a structure. In addition, the polysilicon forming the first electrode can be replaced by a recrystallized silicon.

Weiterhin kann die Erfindung zur Bildung einer Vertiefung in einem Halbleitersubstrat und dann zur Bildung eines gestapelten Kondensators in der Vertiefung verwendet werden.Furthermore, the invention can be used to form a Depression in a semiconductor substrate and then for Formation of a stacked capacitor in the Deepening can be used.

Wird weiterhin ein Speicherkondensator mit hoher Speicherkapazität in einem beschränkten Bereich auf einen isolierten Substrat erfordert, kann der Kondensator durch Bilden einer Speicherelektrode mit einer Vielzahl von Mikrogräben auf dem isolierten Substrat gebildet werden, wobei eine dielektrische Schicht auf diesem und einer Plattenelektrode auf der dielektrischen Schicht abgelagert wird.Will continue to be a storage capacitor with high Storage capacity in a limited area requires an isolated substrate, the Capacitor by forming a storage electrode a variety of micro-trenches on the isolated Substrate are formed using a dielectric Layer on this and a plate electrode on the dielectric layer is deposited.

Die Struktur der Speicherelektrode und deren Herstellungsverfahren sind gemäß der Erfindung anhand von Beispielen dargestellt worden. Allerdings sind verschiedene andere Ausführungsformen möglich. Beispielhaft seien die folgenden verschiedenen Ausführungsformen der Erfindung erwähnt. The structure of the storage electrode and its Manufacturing methods are according to the invention of examples. However various other embodiments possible. The following are examples Embodiments of the invention mentioned.  

Beispiel 1example 1

Gemäß Fig. 6 und 7 ist eine weitere Ausführungsform der DRAM-Speicherzelle gemäß der Erfindung dargestellt. Eine Feldoxidschicht 12 zur Bestimmung eines Speicherzellenbereiches ist auf einem P-Typ Halbleitersubstrat 10 aufgetragen. Das Halbleitersubstrat 10 kann ein P-Typ Grabenbereich sein. Ein Transfertransistor weist einen N-Typ Sourcebereich 16 benachbart zur Feldoxidschicht 12, einen H-Typ Drainbereich 20, der getrennt durch einen H-Kanalbereich 18 vom Sourcebereich 16 angeordnet ist, eine Gateoxidschicht 22 auf dem Kanalbereich 18 und eine auf der Gateoxidschicht 22 aufgetragene Gateelektrode 24 benachbart zum Source- und Drainbereich 16 und 20 aufweisen. Der Transistor ist in einem Aktivbereich 14 auf einer Hauptoberfläche des Halbleitersubstrates 10 gebildet, die von der Feldoxidschicht 12 umgeben ist. Die Gateelektrode 24 ist mit einer Wortleitung 26 verbunden. Eine Wortleitung 28, die mit einer Gateelektrode eines in einem benachbarten Aktivbereich gebildeten Transfertransistor verbunden ist, ist auf der Feldoxidschicht 12 gebildet. Die Gateelektrode 24 ist von der Wortleitung 28 durch eine erste Isolationsschicht 30 isoliert. Die erste Isolationsschicht 30 weist eine Öffnung 135 auf, durch welche der Drainbereich 20 des Transfertransistors eine Bitleitung 150 kontaktiert. Eine Öffnung 125 ist in der ersten Isolationsschicht 30 gebildet und eine zweite Isolationsschicht 190 bedeckt die Bitleitung 150. Die Oberfläche der zweiten Isolationsschicht 190 ist eingeebnet. Eine Speicherelektrode 200 kontaktiert den Sourcebereich 16 in einem Sourcekontaktbereich 18 durch die Öffnung 125 und bestimmt den Speicherkondensatorbereich, der sich über die benachbarte Gateelektrode 24 und die Wortleitung 28 erstreckt. Gemäß der Erfindung weist ein oberer Bereich der Speicherelektrode 20 eine Vielzahl von Mikrogräben oder Mikrozylindern auf, um die Oberfläche der Speicherelektrode wie im folgenden beschrieben zu vergrößern. Eine dielektrische Schicht 40 ist auf der Oberfläche der Speicherelektrode 200 und einer Plattenelektrode 400 ist auf der dielektrischen Schicht 40 aufgetragen. Eine solche DRAM-Speicherzelle ist eine Anwendung einer DASH(diagonale, aktive Stapelkondensatorzelle mit hochgepacktem Speicherknoten)-Struktur, in welcher eine Bitleitung unter dem Speicherkondensator gebildet ist. Die DASH-Struktur ist in IEDM 1988, Seiten 596 bis 599 offenbart. Bei einer DRAM- Speicherzelle mit DASH-Struktur, da die Ausdehnung des Speicherkondensators in horizontaler Richtung ohne Begrenzung der Bitleitungsentwurfsregel entworfen werden kann, ist es einfach, die Speicherkapazität des Kondensators in einem einfachen Verfahren in Vergleich zu einer DRAM-Speicherzelle, bei der der Speicherkondensator unter der Bitleitung gebildet ist, zu vergrößern. Es sei daher angemerkt, daß die Speicherelektrode 200, die den Speicherkondensatorbereich bestimmt, weit ausdehnbar ist, bis sie die Speicherelektrode eines benachbarten Speicherkondensators berührt. Referring to FIG. 6 and 7, another embodiment of the DRAM memory cell is shown according to the invention. A field oxide layer 12 for determining a memory cell area is applied to a P-type semiconductor substrate 10 . The semiconductor substrate 10 may be a P-type trench region. A transfer transistor has an N-type source region 16 adjacent to the field oxide layer 12 , an H-type drain region 20 which is arranged separated by an H-channel region 18 from the source region 16 , a gate oxide layer 22 on the channel region 18 and a gate electrode applied on the gate oxide layer 22 24 adjacent to the source and drain regions 16 and 20 . The transistor is formed in an active region 14 on a main surface of the semiconductor substrate 10 , which is surrounded by the field oxide layer 12 . The gate electrode 24 is connected to a word line 26 . A word line 28 , which is connected to a gate electrode of a transfer transistor formed in an adjacent active region, is formed on the field oxide layer 12 . The gate electrode 24 is insulated from the word line 28 by a first insulation layer 30 . The first insulation layer 30 has an opening 135 through which the drain region 20 of the transfer transistor contacts a bit line 150 . An opening 125 is formed in the first insulation layer 30 and a second insulation layer 190 covers the bit line 150 . The surface of the second insulation layer 190 is leveled. A storage electrode 200 contacts the source region 16 in a source contact region 18 through the opening 125 and determines the storage capacitor region, which extends over the adjacent gate electrode 24 and the word line 28 . According to the invention, an upper region of the storage electrode 20 has a plurality of micro-trenches or micro-cylinders in order to enlarge the surface of the storage electrode as described below. A dielectric layer 40 is coated on the surface of the storage electrode 200 and a plate electrode 400 is coated on the dielectric layer 40 . Such a DRAM memory cell is an application of a DASH (diagonal, active stacked capacitor cell with high-packed memory node) structure, in which a bit line is formed under the memory capacitor. The DASH structure is disclosed in IEDM 1988, pages 596 to 599. In a DRAM memory cell with DASH structure, since the expansion of the storage capacitor in the horizontal direction can be designed without limitation of the bit line design rule, it is easy to compare the storage capacity of the capacitor in a simple process compared to a DRAM memory cell in which the storage capacitor formed under the bit line to enlarge. It should therefore be noted that the storage electrode 200 , which defines the storage capacitor region, is extensible until it touches the storage electrode of an adjacent storage capacitor.

Im folgenden wird anhand der Fig. 8A bis 8D, 9A bis 9C und 10A bis 10C ein Herstellungsverfahren der DRAM-Speicherzelle nach Fig. 7 beschrieben.A production method of the DRAM memory cell according to FIG. 7 is described below with reference to FIGS. 8A to 8D, 9A to 9C and 10A to 10C.

Gemäß Fig. 8A wird ein Verfahren zur Bildung eines Paares von Transfertransistoren unter Bitleitung 150 beschrieben. Das Verfahren vor der Bildung der Bitleitung 150 ist das gleiche wie das gemäß Fig. 3A beschriebene Verfahren. Da die Bitleitung 150 auf der Isolationsschicht 30 gebildet ist, wird die Oberfläche der ersten Isolationsschicht 30 bevorzugt durch Verwendung eines Rückflußverfahrens wie BPSG eingeebnet. Dann wird ein Teil der ersten Isolationsschicht 30, der auf dem Drainbereich 20 gebildet ist, durch konventionelles Photoätzen entfernt, um die Öffnung 135 zu bilden, durch welche der Drainbereich 20 des Transfertransistors mit der Bitleitung 150 aus Aluminium verbunden wird.Referring to FIG. 8A, a method for forming a pair of transfer transistors with bit line 150 will be described. The process before forming bit line 150 is the same as the process described in FIG. 3A. Since the bit line 150 is formed on the insulation layer 30 , the surface of the first insulation layer 30 is preferably flattened using a reflow method such as BPSG. Then, part of the first insulation layer 30 formed on the drain region 20 is removed by conventional photoetching to form the opening 135 through which the drain region 20 of the transfer transistor is connected to the aluminum bit line 150 .

Gemäß Fig. 8B wird nach Bilden der Bitleitung 150 eine zweite Isolationsschicht 190 aus BPSG oder PSG mit einer Dicke von ungefähr 5000 Å auf dem Substrat aufgetragen und die Oberfläche durch Rückfließen eingeebnet. Die zweite Isolationsschicht 190 ist im allgemeinen aus Siliziumoxid oder eine gestapelte Schicht aus Siliziumoxid und Siliziumnitrid. In beiden Fällen wird der Oberflächeneinebnungsprozeß nach Auftragen der zweiten Isolationsschicht 190 durchgeführt. Alternativ kann das Einebnungsverfahren durch Auftragen einer Siliziumoxidschicht auf dem Substrat durch Auftragen von Widerstandsteilchen und dann Ätzen mit einem gesteuerten Ätzverhältnis von Widerstandsteilchen Siliziumoxidschicht erzielt werden.According to Fig. 8B, the bit line 150,190 applied a second insulation layer of BPSG or PSG having a thickness of about 5000 Å on the substrate and the surface leveled by backflow after forming. The second insulation layer 190 is generally made of silicon oxide or a stacked layer of silicon oxide and silicon nitride. In both cases, the surface flattening process is carried out after the second insulation layer 190 has been applied. Alternatively, the leveling process can be accomplished by depositing a silicon oxide layer on the substrate by depositing resistive particles and then etching with a controlled etch ratio of resistive silicon oxide layer.

Gemäß Fig. 8C wird nach Vollendung der Bildung und der Einebnung der zweiten Isolationsschicht 190 die Öffnung 125 zum Freilegen eines Teil der Oberfläche des Sourcebereiches 16 durch die zweite Isolationsschicht 190 und die erste Isolationsschicht 30 durch ein konventionales Photoätzen eingebracht. Nachdem der Photolack zur Bildung der Öffnung 125 entfernt worden ist, wird die Polysiliziumschicht 56 von 2500 Å Dicke mit hemisphärischen Körnern auf ihrer Oberfläche auf der zweiten Isolationsschicht 190 gebildet. Diese kontaktiert die Oberfläche des Sourcebereiches 16, wie gemäß Fig. 3B beschrieben wurde. Nachdem die Polysiliziumschicht 56 gebildet worden ist, wird die Arsenionenimplantation zur Dotierung der Polysiliziumschicht entsprechend zur Fig. 3B durchgeführt. Dann wird eine Maskenschicht 250 aus SiO2 auf der dotierten Polysiliziumschicht 56 mit einer Dicke von ungefähr 300 bis 500 Å durch konventionelles CVD aufgetragen. Die dielektrische Substanz mit hoher Dielektrizitätskonstante wie Si3N4 oder Ta2O5 kann als Maskenschicht 58 verwendet werden. Allerdings ist es bei Betrachtung des Ätzverfahrens zur Bildung der Mikrogräben bevorzugt, eine dielektrische Substanz mit einer hohen Selektivität im Hinblick auf Polysilizium/dielektrische Substanz zu verwenden. Nach Ablagerung der Maskenschicht 250 wird ein Strukturierverfahren zur Bestimmung der Speicherkondensatorfläche durch ein konventionelles Photoätzen durchgeführt.Referring to FIG. 8C, the second insulating layer 190 is 125, the surface of the source region 16 through the second insulating layer 190 and the first insulation layer 30 is introduced, the opening for exposing a part by a konventionales photoetching after completion of the formation and the leveling. After the photoresist to form the opening 125 is removed, the 2500 Å thick polysilicon layer 56 with hemispherical grains on its surface is formed on the second insulation layer 190 . This contacts the surface of the source region 16 , as has been described in accordance with FIG. 3B. After the polysilicon layer 56 has been formed, the arsenic ion implantation for doping the polysilicon layer is carried out in accordance with FIG. 3B. Then a mask layer 250 of SiO 2 is deposited on the doped polysilicon layer 56 with a thickness of approximately 300 to 500 Å by conventional CVD. The dielectric substance with a high dielectric constant, such as Si 3 N 4 or Ta 2 O 5, can be used as the mask layer 58 . However, when considering the etching process for forming the micro-trenches, it is preferable to use a dielectric substance with a high selectivity with respect to polysilicon / dielectric substance. After the mask layer 250 has been deposited, a structuring method for determining the storage capacitor area is carried out by conventional photoetching.

Im folgenden wird das Verfahren zur Bildung der Mikrogräben gemäß der vorliegenden Erfindung im Detail mit Bezug auf Fig. 9A und 10A beschrieben, in denen vergrößerte Darstellungen verschiedener Ausführungsformen der abgerundeten Bereiche 500 gemäß Fig. 8C entsprechend dargestellt sind. Fig. 10A zeigt die Anordnung der Körner in dem Fall, in dem die Entfernung S zwischen den hemisphärischen Körnern größer als 2 mal die Dicke X der Maskierungsschicht 250 aus SiO2 (das heißt, S 2X) ist und Fig. 9A zeigt die Anordnung von Körnern bei einer Entfernung S = 0.The method for forming the micro-trenches according to the present invention is described in detail below with reference to FIGS. 9A and 10A, in which enlarged representations of various embodiments of the rounded regions 500 according to FIG. 8C are shown accordingly. FIG. 10A shows the arrangement of the grains in the case where the distance S between the hemispherical grains is greater than 2 times the thickness X of the masking layer 250 made of SiO 2 (i.e., S 2X), and FIG. 9A shows the arrangement of FIG Grains at a distance S = 0.

Gemäß Fig. 9A wird ein SiO2 Rückätzverfahren bei der Polysiliziumoxidschicht 250 durchgeführt, wie es zur Bildung einer Seitenwand nach der bekannten LDD MOSFET(MOSFET mit leicht dotierter Drain)-Herstellung verwendet wird, um bei einer Dicke X (= 300 bis 500 Å) der SiO2-Schicht 250 das Ätzen zu stoppen. Dieses Verfahren ist das gleiche wie das Verfahren der Fig. 4B. Wenn die SiO2-Schicht 250 aufgetragen worden ist, ist das Ergebnis des Rückätzverfahrens so, daß die Ätzmaske 251 in den Tälern verbleibt und die oberen Bereiche 222 der Körner 221 freigelegt sind, da die SiO2-Schicht in den Tälern zwischen den Polysiliziumkörnern 221 dicker aufgetragen ist.According to FIG. 9A, an SiO 2 etch-back process is carried out on the polysilicon oxide layer 250 , as is used to form a side wall according to the known LDD MOSFET (MOSFET with lightly doped drain) production, in order to have a thickness X (= 300 to 500 Å) the SiO 2 layer 250 to stop the etching. This procedure is the same as the procedure of Fig. 4B. When the SiO 2 layer 250 has been deposited, the result of the etch back process is that the etch mask 251 remains in the valleys and the top portions 222 of the grains 221 are exposed because the SiO 2 layer is in the valleys between the polysilicon grains 221 is applied thicker.

Gemäß Fig. 9B wird ein anisotropes Ätzen mit einer Selektivität im Hinblick auf Polysilizium/SiO2 gleich 40 durchgeführt, um vollständig die Polysiliziumschicht 56 von 2500 Å Dicke aufzuätzen, um die zweite Isolationsschicht 91 außerhalb des Bereiches unter der Ätzmaske 251 freizulegen. Ein solches Ätzen wird durch Verwendung des Models Nr. "Rainbow 4400" von LAM Co. durchgeführt, bei einer Leistung von 200 Watt bei einem atmosphärischen Druck von 350 Millibar und bei Verwendung eines Mischgases von HBR(Wasserstoffbromid) : Cl2=40SCCM : 120SCCM. Als Ergebnis werden die Mikrogräben 230 mit einer schraubenlochartigen Struktur gebildet, die durch die Polysiliziumschicht 56 hindurchdringen. Es sei angemerkt, daß die Ausführungsform im Vergleich zu dem Verfahren nach Fig. 4C dahingehend unterschiedlich ist, daß die Vertiefungstiefe in Fig. 4C gleich 0,2 µm ist, während die Lochtiefe dieser Ausführungsform gleich 2500 Å ist. Nachdem die Schraubenloch ähnlichen Mikrogräben 230 gebildet sind, wird eine dotierte, dünne Polysiliziumschicht 240 gleichmäßig auf dem Innern und Äußeren der Mikrogräben 230 durch LPCVD mit einer Auftragungsrate von 20 bis 25 A/min in einem Zerfallsgas SiH4 bei über 600°C aufgetragen, bei welcher Temperatur Polysilizium gebildet wird. Da die effektive Dicke der dünnen Polysiliziumschicht 240 geringer als der halbe Durchmesser (0,07 bis 0,15 µm) der hemisphärischen Körner 221 sein sollte, um eine ausreichende Oberfläche des Speicherkondensators zu sichern, ist die Dicke der dünnen Polysiliziumschicht 240 300 bis 700 Å. Ein Strukturierverfahren wird auf die dünne Polysiliziumschicht 240, die über der gesamten Oberfläche des Substrates aufgetragen ist, durch ein konventionelles Photoätzen angewendet, um die Speicherkondensatorfläche zu definieren und die Speicherelektrode 200 zu bilden. Als Ergebnis weist die Speicherelektrode 200 die Polysiliziumschicht 56 auf und die dünne Polysiliziumschicht 240 weist eine Vielzahl von Mikrogräben 230 auf.According to Fig. 9B, an anisotropic etching is performed with a selectivity with respect to polysilicon / SiO 2 is equal to 40 to completely aufzuätzen the polysilicon layer 56 of 2500 Å thick, in order to expose the second insulating layer 91 outside the region below the etching mask 251st Such etching is carried out using the model No. "Rainbow 4400" from LAM Co., at a power of 200 watts at an atmospheric pressure of 350 millibars and when using a mixed gas of HBR (hydrogen bromide): Cl 2 = 40SCCM: 120SCCM . As a result, the micro-trenches 230 are formed with a screw hole-like structure that penetrate through the polysilicon layer 56 . It should be noted that the embodiment is different from the method of Fig. 4C in that the recess depth in Fig. 4C is 0.2 µm, while the hole depth of this embodiment is 2500 Å. After the screw trench-like micro-trenches 230 are formed, a doped, thin polysilicon layer 240 is uniformly applied to the inside and outside of the micro-trenches 230 by LPCVD at a rate of 20 to 25 A / min in a decay gas SiH 4 at over 600 ° C what temperature polysilicon is formed. Since the effective thickness of the thin polysilicon layer 240 should be less than half the diameter (0.07 to 0.15 µm) of the hemispherical grains 221 to ensure a sufficient surface area of the storage capacitor, the thickness of the thin polysilicon layer 240 is 300 to 700 Å . A patterning process is applied to the thin polysilicon layer 240 deposited over the entire surface of the substrate by conventional photoetching to define the storage capacitor area and form the storage electrode 200 . As a result, the storage electrode 200 has the polysilicon layer 56 and the thin polysilicon layer 240 has a plurality of micro-trenches 230 .

Gemäß Fig. 9C ist nach Bildung der Speicherelektrode 200 eine Si3N4-Schicht von ungefähr 70 Å Dicke auf der Oberfläche der Polysiliziumschicht 240 (oder der Speicherelektrode 200) durch konventionelles CVD gebildet. Weiter wird eine dielektrische Schicht 40 auf einer N-O-Schicht (oder einer O-N-O-Schicht, wenn eine natürlich oxidierte SiO2-Schicht hinzugefügt wird) von 20 Å Dicke SiO2 durch Wärmeoxidation der Oberfläche der aufgetragenen Si3N4 gebildet. Dann wird die Polysiliziumschicht 400 aus dotiertem Polysilizium auf der dielektrischen Schicht 40 aufgetragen, um die Herstellung des in Fig. 8D dargestellten Speicherkondensators zu vervollständigen.Referring to FIG. 9C, the storage electrode is a Si 3 N 4 layer of about 70 Å thickness on the surface of the polysilicon layer 240 (or the storage electrode 200) was formed by conventional CVD 200 after formation. Further, a dielectric layer 40 is formed on an NO layer (or an ONO layer when a naturally oxidized SiO 2 layer is added) of 20 Å in thickness SiO 2 by heat oxidation of the surface of the deposited Si 3 N 4 . Then, the polysilicon layer 400 of doped polysilicon is deposited on the dielectric layer 40 to complete the fabrication of the storage capacitor shown in FIG. 8D.

Fig. 10A bis 10C zeigen eine weitere Ausführungsform des Speicherkondensators gemäß der Erfindung. In diesem Fall wird nach Rückätzen der Maskenschicht 250 eine Ätzmaskenschicht 251 auf den Seitenwänden 225 der entsprechenden Körner 221 nach Fig. 10A gebildet. Die oberen Bereiche 222 der Körner 221 und die Oberflächenbereiche 226 der zwischen den Körnern 221 aufgetragenen Polysiliziumschicht 56 sind freigelegt. Danach wird ein Ätzen in Nanometerbereich mit der Polysiliziumschicht 56 durchgeführt, um die zweite Isolationsschicht 190 und die auf der gesamten Oberfläche des Substrates aufgetragene dünne Polysiliziumschicht 240 freizulegen. Dann wird die Speicherelektrode 200 gemäß Fig. 10B strukturiert. Weiterhin werden die dielektrische Schicht 40 und die Plattenelektrode 400 aufeinanderfolgend auf der Speicherelektrode 200 aufgetragen. FIG. 10A to 10C show another embodiment of the storage capacitor according to the invention. In this case, after etching back the mask layer 250, an etching mask layer 251 is formed on the side walls 225 of the corresponding grains 221 according to FIG. 10A. The upper regions 222 of the grains 221 and the surface regions 226 of the polysilicon layer 56 applied between the grains 221 are exposed. Thereafter, nanometer scale etching is performed on the polysilicon layer 56 to expose the second insulation layer 190 and the thin polysilicon layer 240 applied to the entire surface of the substrate. Then, the storage electrode 200 is structured according to FIG. 10B. Furthermore, the dielectric layer 40 and the plate electrode 400 are successively applied to the storage electrode 200 .

Es sei angemerkt, daß auch in dem Fall, in dem die Entfernung zwischen den hemisphärischen Körnern nicht gleichmäßig ist, der Speicherkondensator durch das obige Verfahren gemäß der Erfindung herstellbar ist. Weiterhin sei angemerkt, daß eine genaue Steuerung der Ätztiefe zur Bildung der Mikrogräben nicht erforderlich ist, da nach der vollständigen Entfernung des Polysiliziums 56 außer Abschnitten unter der Ätzmaskenschicht 251 mit einer hohen Selektivität für Polysilizium/Siliziumoxid die dünne Polysiliziumschicht 240 zur Bildung der Speicherelektrode 200 gebildet wird.It should be noted that even in the case where the distance between the hemispherical grains is not uniform, the storage capacitor can be manufactured by the above method according to the invention. Furthermore, it should be noted that precise control of the etching depth for the formation of the micro-trenches is not necessary, since after the complete removal of the polysilicon 56 except for portions under the etching mask layer 251 with a high selectivity for polysilicon / silicon oxide, the thin polysilicon layer 240 is formed to form the storage electrode 200 becomes.

Vorstehend würde als Beispiel angenommen, daß die Speicherelektrode als Ätzmaske verwendetes Siliziumoxid verwendet. Da allerdings die Ätzmaskenschicht 251 nicht die Rolle der dielektrischen Schicht spielt und nicht die Oberfläche des Speicherkondensators vergrößern kann, wird die Ätzmaskenschicht 251 bevorzugt durch Durchführung eines anisotropen Ätzens und Eintauchen in einer gepufferten HF-Lösung entfernt.In the above, it would be assumed as an example that the storage electrode uses silicon oxide used as an etching mask. However, since the etch mask layer 251 does not play the role of the dielectric layer and cannot increase the surface area of the storage capacitor, the etch mask layer 251 is preferably removed by performing anisotropic etching and immersing in a buffered RF solution.

Obwohl die gemäß Fig. 7 beschriebene Ausführungsform eine DRAM-Speicherzelle mit DASH-Struktur zeigt, bei der die Bitleitung unter dem Speicherkondensator angeordnet ist, ist die vorliegende Erfindung nicht auf eine solche Struktur beschränkt. Beispielsweise kann diese Ausführungsform auch bei einer DRAM- Speicherzelle nach Fig. 2 verwendet werden. In diesem Fall, bevor die als Speicherelektrode 36 dienende Polysiliziumschicht 56 aufgetragen wird, sollte die unterhalb der Polysiliziumschicht 56 gebildete Isolationsschicht 30 eingeebnet werden.Although the embodiment described according to FIG. 7 shows a DRAM memory cell with DASH structure in which the bit line is arranged under the storage capacitor, the present invention is not restricted to such a structure. For example, this embodiment can also be used with a DRAM memory cell according to FIG. 2. In this case, before the polysilicon layer 56 serving as the storage electrode 36 is applied, the insulation layer 30 formed below the polysilicon layer 56 should be leveled.

Beispiel 2Example 2

Ein weiteres Ausführungsbeispiel der Erfindung wird im folgenden gemäß der Fig. 11A bis 11D und 12A bis 12I beschrieben.Another embodiment of the invention is described below according to FIGS. 11A to 11D and 12A to 12I.

Gemäß Fig. 11A wird eine Polysiliziumschicht 56 von 2500 Å Dicke mit hemisphärischen Körnern auf ihrer Oberfläche auf der zweiten Isolationsschicht 190 aufgetragen und kontaktiert den Sourcebereich 10 durch die Öffnung 125. Dann wird eine Arsenionenimplantation durchgeführt. Gemäß Fig. 11B wird eine SiN-Schicht 330 von 20 bis 500 Å Dicke auf der Polysiliziumschicht 56 durch konventionelles LPCVD aufgetragen und eine SOG-Schicht 340 von ungefähr 2000 Å Dicke wird auf der SiN-Schicht 330 aufgetragen. Da die Dicke der SOG-Schicht 340 viel größer als die Höhe der hemisphärischen Körner ist, wird die rauhe Oberfläche der Polysiliziumschicht 56 vollständig durch die SOG-Schicht 340 bedeckt.Referring to FIG. 11A, a polysilicon layer is deposited 56 of 2500 Å thickness having hemispherical grains on its surface on the second insulating layer 190 and contacts the source region 10 through the opening 125. Then an arsenic ion implantation is performed. According to Fig. 11B, a SiN layer 330 is deposited from 20 to 500 Å thick on the polysilicon layer 56 by conventional LPCVD and an SOG layer 340 of about 2000 Å thickness is deposited on the SiN layer 330. Because the thickness of the SOG layer 340 is much greater than the height of the hemispherical grains, the rough surface of the polysilicon layer 56 is completely covered by the SOG layer 340 .

Fig. 12A zeigt eine vergrößerte Darstellung des gerundeten Bereiches der Fig. 11B. In Fig. 12B wird nach Auftragen und Einebnen der SOG-Schicht 340 diese rückgeätzt oder trockengeätzt, um obere Bereiche 331 der hemisphärischen Körner 221 freizulegen, deren Oberfläche mit der SiN-Schicht 330 bedeckt sind. Das Freilegen der SiN-Schicht 330 ist genau durch Steuern der Zeit und des Ausmaßes des Ätzens bestimmbar. In Fig. 12C wird die freigelegte SiN-Schicht 331 durch Trockenätzen unter Verwendung des Models Nr. "Rainbow 4400" von LAM Co. oder durch ein Naßätzen mit Phosphorsäure (H3PO4) entfernt. Dann wird die verbleibende SOG-Schicht 342 gemäß Fig. 12D vollständig entfernt, indem das Substrat in eine BOG(gepuffertes Oxidätzmittel) Lösungsmittel für ungefähr eine Minute eingetaucht wird. FIG. 12A shows an enlarged illustration of the rounded region of FIG. 11B. In FIG. 12B, after the SOG layer 340 has been applied and leveled, it is etched back or dry etched to expose upper regions 331 of the hemispherical grains 221 , the surface of which are covered with the SiN layer 330 . The exposure of the SiN layer 330 can be precisely determined by controlling the time and the extent of the etching. In Fig. 12C, the exposed SiN layer 331 is removed by dry etching using the model number "Rainbow 4400" from LAM Co. or by wet etching with phosphoric acid (H 3 PO 4 ). Then, the remaining SOG layer 342 as shown in FIG. 12D is completely removed by immersing the substrate in a BOG (Buffered Oxide Etchant) solvent for about one minute.

Gemäß Fig. 12E werden die oberen Bereiche der hemisphärischen Körner 221 der freigelegten Polysiliziumschicht 56 oxidiert, um eine Oxidschicht 231 von 100 bis 1000 Å Dicke zu bilden. Dieser Oxidationsprozeß kann durch Verwendung von Trocken-O2 oder Eintauchen des Substrates in eine Mischlösung von HCL : H2O2 : H2O=1 : 1 : 6 bei 60 bis 80°C durchgeführt werden. Zu diesem Zeitpunkt wird eine dünne Oxidschicht 232 auch auf der SiN-Schicht 330 gebildet. Allerdings kann diese einfach durch Eintauchen des Substrates in BOE-Lösung für ungefähr 10 Sekunden entfernt werden. Die Oxidschicht 231 wird als Ätzmaske zur Bildung der Mikrogräben verwendet. Nach dem Oxidationsprozeß wird die auf den hemisphärischen Körnern 221 verbliebene SiN-Schicht 330 und die Polysiliziumschicht 56 durch Eintauchen des Substrates in H3PO4-Lösung nach Fig. 12F entfernt. Referring to FIG. 12E, the upper portions of the hemispherical grains 221 of the exposed polysilicon layer 56 are oxidized to form an oxide film 231 of 100 to 1000 Å thickness. This oxidation process can be carried out using dry O 2 or immersing the substrate in a mixed solution of HCL: H 2 O 2 : H 2 O = 1: 1: 6 at 60 to 80 ° C. At this time, a thin oxide layer 232 is also formed on the SiN layer 330 . However, this can be removed simply by immersing the substrate in BOE solution for about 10 seconds. The oxide layer 231 is used as an etching mask for forming the micro-trenches. After the oxidation process, the SiN layer 330 remaining on the hemispherical grains 221 and the polysilicon layer 56 are removed by immersing the substrate in H 3 PO 4 solution according to FIG. 12F.

Gemäß Fig. 11C wird nach Bilden der Ätzmaske 231 aus der Oxidschicht die Polysiliziumschicht 56 durch konventionelles Photoätzen strukturiert, um die Speicherelektrode zu bilden. Bei dem oben genannten Strukturieren sei angemerkt, daß, da die Polysiliziumschicht 56 oberhalb der Bitleitung 51 gebildet ist, die Ausdehnung der Oberfläche des Speicherkondensators ohne Beschränkung der Bitleitungsentwurfsregel entworfen werden kann.Referring to FIG. 11C, the etching mask 231, the polysilicon layer 56 from the oxide layer patterned by conventional photo-etching after forming to form the storage electrode. In the above patterning, it should be noted that since the polysilicon layer 56 is formed above the bit line 51 , the expansion of the surface of the storage capacitor can be designed without restricting the bit line design rule.

Gemäß Fig. 12C wird ein anisotropes Ätzen mit Selektivität für Polysilizium/SiO2 gleich 40 bei der Polysiliziumschicht 56 mit einer Dicke von 0,2 µm unter Verwendung der Ätzmaskenschicht 231 durchgeführt. Ein solches Ätzen wird durch Verwendung des Models Nr. "Rainbow 4400 der LAM Co." durchgeführt, bei einer Leistung von 200 Watt unter atmosphärischem Druck von 350 Millibar mit einem Mischgas von HBR(Wasserstoffbromid) : Cl1= 40SCCM : 120SCCM. Als Ergebnis werden gemäß Fig. 12G Mikrogräben 224 mit gerundetem Bereich entsprechend zur Form der Körner in den tieferen Teil gebildet. Die Bodenflächen der Mikrogräben 224 haben geringe Steigung. Einer solchen Struktur kann die Stufenüberdeckungscharakteristik der aufgetragenen dielektrischen Schicht im Vergleich zu anderen Strukturen verbessert werden.Referring to FIG. 12C, an anisotropic etching selectivity for polysilicon / SiO 2 is equal to 40 with a thickness of 0.2 microns carried out at the polysilicon layer 56 using the etching mask layer 231st Such an etching is performed using the model No. "Rainbow 4400 from LAM Co." carried out at a power of 200 watts under atmospheric pressure of 350 millibars with a mixed gas of HBR (hydrogen bromide): Cl 1 = 40SCCM: 120SCCM. As a result, as shown in FIG. 12G, micro-trenches 224 having a rounded area corresponding to the shape of the grains are formed in the lower part. The bottom surfaces of the micro trenches 224 have a slight slope. With such a structure, the step coverage characteristic of the applied dielectric layer can be improved compared to other structures.

Gemäß Fig. 12H wird die nicht als dielektrische Schicht dienende Ätzmaskenschicht 231 entfernt, um die Herstellung der Speicherelektrode 201 zu vervollständigen. Es sei angemerkt, daß die Oberfläche der Speicherelektrode 201, von der die Ätzmaskenschicht 231 entfernt worden ist, wohlgerundet ist und keine Schadensabschnitte aufweist. Dann wird darauf eine dielektrische Schicht aufgetragen, um eine unerwünschte Abnahme der Durchbruchsspannung des Speicherkondensators zu verhindern.Referring to FIG. 12H are not serving as a dielectric layer etching mask layer 231 is removed to the preparation of the storage electrode to complete the two hundred and first It should be noted that the surface of the storage electrode 201 from which the etching mask layer 231 has been removed is well rounded and has no damaged portions. A dielectric layer is then applied thereon to prevent an undesirable decrease in the breakdown voltage of the storage capacitor.

Dann wird eine Si3H4-Schicht von ungefähr 70 Å Dicke auf der Oberfläche der Speicherelektrode 201 durch konventionelles CVD aufgetragen und eine dielektrische Schicht 40 aus einer N-O-Schicht von 20 Å Dicken SiO2(oder eine O-N-O-Schicht, wenn eine natürliche oxidierte SiO2-Schicht hinzugefügt worden ist) die durch Wärmeoxidation der Oberfläche der Si3N4-Schicht erhalten worden ist, wird aufgetragen. Dann wird eine Polysiliziumschicht von dotiertem Polysilizium auf der dielektrischen Schicht 40 aufgetragen, um die Herstellung des Speicherkondensators gemäß Fig. 121 zu vervollständigen.Then, a Si 3 H 4 layer about 70 Å thick is deposited on the surface of the storage electrode 201 by conventional CVD, and a dielectric layer 40 made of an NO layer of 20 Å thick SiO 2 (or an ONO layer if a natural one) oxidized SiO 2 layer has been added) obtained by heat oxidation of the surface of the Si 3 N 4 layer is applied. Then, a polysilicon layer of doped polysilicon is deposited on the dielectric layer 40 to complete the fabrication of the storage capacitor shown in FIG. 121.

Danach wird eine Schutzschicht 46 wie BPSG (Borphosphorsilikatglas) oder PSG auf dem Substrat 10 aufgetragen und ein Rückflußverfahren zum Einebnen der Einrichtung durchgeführt. Als Ergebnis wird die in Fig. 11D gezeigte DRAM-Speicherzelle hergestellt.Then a protective layer 46 such as BPSG (borophosphosilicate glass) or PSG is applied to the substrate 10 and a reflux process is carried out to level the device. As a result, the DRAM memory cell shown in Fig. 11D is manufactured.

Bei der obigen Ausführungsform ist die Dicke der als Speicherelektrode dienenden Polysiliziumschicht 220 gleich 2500 Å und die Tiefe der Gräben beträgt 2000 Å. Allerdings sei angemerkt, daß die Erfindung nicht auf diese numerischen Werte beschränkt ist. Durch Erhöhung der Dicke der Polysiliziumschicht 56 und durch tieferes Ätzen der Gräben abhängig von der Selektivität des Polysiliziums/Siliziumoxids, kann die Oberfläche der Speicherelektrode 201 vergrößert werden. Natürlich kann die Ausführungsform gemäß der Erfindung für eine Speicherelektrode verwendet werden, bei der die Entfernung zwischen den hemisphärischen Körnern gleich Null ist.In the above embodiment, the thickness of the polysilicon layer 220 serving as a storage electrode is 2500 Å and the depth of the trenches is 2000 Å. However, it should be noted that the invention is not limited to these numerical values. The surface area of the storage electrode 201 can be increased by increasing the thickness of the polysilicon layer 56 and by etching the trenches deeper depending on the selectivity of the polysilicon / silicon oxide. Of course, the embodiment according to the invention can be used for a storage electrode in which the distance between the hemispherical grains is zero.

Beispiel 3Example 3

Im folgenden wird Bezug auf die Fig. 13A bis 13F, 14A bis 14H und 15 genommen, die eine weitere Ausführungsform der Erfindung darstellen.Reference is now made to Figures 13A to 13F, 14A to 14H and 15 which illustrate another embodiment of the invention.

Gemäß Fig. 13A sind eine Gateelektrode 24 und eine Wortleitung 28 auf einem Halbleitersubstrat 10 eines ersten Leitfähigkeitstyps ähnlich zur Fig. 3A gebildet. Dann wird eine erste Zwischenschicht- Isolationsschicht 600 wie BPSG oder Oxidschicht auf der gesamten Oberfläche des Substrates 10 aufgetragen und nachfolgend eingeebnet. Eine erste Isolationsschicht 610 von 500 bis 1000 Å Dicke wie eine Nitridschicht und eine zweite Isolationsschicht 620 von 1000 bis 2000 Å Dicke wie eine Oxidschicht werden nacheinander auf der ersten Zwischenschicht- Isolationsschicht 600 aufgetragen. Die erste Isolationsschicht 610 aus Nitrid wird als Ätzstoppschicht im nachfolgenden Verfahren verwendet.Referring to FIG. 13A, a gate electrode 24 and a word line 28 on a semiconductor substrate 10 of a first conductivity type 3A are similar to FIG. Formed. Then a first interlayer insulation layer 600 such as BPSG or oxide layer is applied to the entire surface of the substrate 10 and subsequently leveled. A first insulation layer 610 of 500 to 1000 Å in thickness such as a nitride layer and a second insulation layer 620 of 1000 to 2000 Å in thickness such as an oxide layer are successively applied to the first interlayer insulation layer 600 . The first nitride insulation layer 610 is used as an etch stop layer in the subsequent process.

Gemäß Fig. 13B wird ein Verfahren zur Bildung einer ersten Kontaktöffnung CH1 und einer ersten Leitungsschicht 56 aus Polysilizium dargestellt. Ein Photolackmuster einer erwünschten Größe wird auf der zweiten Isolationsschicht 620 durch den nachfolgenden Prozeß des Auftragens des Photolacks gebildet. Dann wird der Photolack belichtet bzw. strukturiert. Durch Verwendung des Photolackmusters werden erste und zweite Isolationsschicht 610 und 620 und erste Zwischenschicht-Isolationsschicht 600 weggeätzt, um die erste Kontaktöffnung CH1 zu bilden, die die Speicherelektrode, die als erste Elektrode des Speicherkondensators verwendet wird, mit dem Sourcebereich 16 des Transfertransistors zu verbinden. Nach Entfernen des Photolackmusters wird eine dotierte Polysiliziumschicht 56 von 2000 bis 6000 Å Dicke mit hemisphärischen Körnern auf ihrer Oberfläche auf der gesamten Oberfläche des Substrates 10 aufgetragen. In Fig. 13B sind die Körner mit benachbarten Körnern verbunden, das heißt die Entfernung S zwischen den Körnern ist gleich Null wie in den Fig. 4A und 9A. Allerdings kann die vorliegende Erfindung auch für eine Speicherelektrode verwendet werden, bei der die Körner voneinander beabstandet sind, wie in den vorhergehenden Ausführungsformen dargestellt.According to Fig. 13B, a method of forming a first contact hole CH1 and a first conductor layer 56 is shown made of polysilicon. A resist pattern of a desired size is formed on the second insulation layer 620 by the subsequent process of applying the resist. The photoresist is then exposed or structured. Using the photoresist pattern, first and second insulation layers 610 and 620 and first interlayer insulation layer 600 are etched away to form the first contact opening CH1, which connects the storage electrode, which is used as the first electrode of the storage capacitor, to the source region 16 of the transfer transistor. After removal of the photoresist pattern, a doped polysilicon layer 56 with a thickness of 2000 to 6000 Å with hemispherical grains on its surface is applied to the entire surface of the substrate 10 . In Fig. 13B, the grains are connected to adjacent grains, that is, the distance S between the grains is zero as in Figs. 4A and 9A. However, the present invention can also be used for a storage electrode in which the grains are spaced from each other, as shown in the previous embodiments.

Gemäß Fig. 13C wird ein Verfahren zur Bildung eines Musters einer Polysiliziumschicht und einer dritten Isolationsschicht 630 dargestellt. Zuerst wird ein Photolackmuster einer erwünschten Größe auf der ersten Leitungsschicht 56 aus Polysilizium durch das folgende Verfahren des Auftragens des Photolacks gebildet. Dann wird der Photolack belichtet bzw. strukturiert. Durch Verwendung des Photolackmusters wird die erste Leitungsschicht 56 aus Polysilizium abgeätzt, um Muster 56′ der ersten Polysiliziumschicht mit den hemisphärischen Körnern auf ihrer Oberfläche zu bilden. Nachfolgend wird das Photolackmuster entfernt und die dritte Isolationsschicht 630 aus einer 300 bis 1000 Å dicken HTO (Hochtemperaturoxid)-Schicht auf der gesamten Oberfläche des Substrates 10 aufgetragen.Referring to FIG. 13C, a method for forming a pattern of a polysilicon layer and a third insulating layer 630 is illustrated. First, a photoresist pattern of a desired size is formed on the first polysilicon wiring layer 56 by the following method of applying the photoresist. The photoresist is then exposed or structured. By using the photoresist pattern, the first polysilicon line layer 56 is etched away to form pattern 56 'of the first polysilicon layer with the hemispherical grains on its surface. The photoresist pattern is then removed and the third insulation layer 630 made of a 300 to 1000 Å thick HTO (high temperature oxide) layer is applied to the entire surface of the substrate 10 .

Gemäß Fig. 13D ist ein Verfahren zum Ätzen der dritten Isolationsschicht 630 dargestellt. Ein Rückätzen wird auf dem Substrat 10 durchgeführt, um die obersten Bereiche der Körner des Polysiliziummusters 56′ freizulegen. Als Ergebnis verbleibt die dritte Isolationsschicht 630 zwischen den Körnern. Ferner verbleibt die dritte Isolationsschicht 630′ auf den Seitenwänden des Polysiliziummusters 56′.According to Fig. 13D illustrates a method for etching the third insulating layer 630. An etch back is performed on the substrate 10 to expose the uppermost regions of the grains of the polysilicon pattern 56 '. As a result, the third insulation layer 630 remains between the grains. Furthermore, the third insulation layer 630 'remains on the side walls of the polysilicon pattern 56 '.

Gemäß Fig. 13E ist ein Verfahren zur Bildung der Speicherelektrode dargestellt. Durch Verwendung der verbleibenden dritten Isolationsschicht 630′ als Maske wird das Polysiliziummuster 56′ abgeätzt, um eine Speicherelektrode 202 zu bilden. Als Ergebnis ist die Speicherelektrode 202 gebildet, die Mikrogräben oder Mikrozylinder in den Flächen des Polysiliziummusters 56′ aufweist, auf der die verbleibende dritte Isolationsschicht 630′ nicht aufgetragen ist. Im weiteren während des Verfahrens des Ätzens der Speicherelektrode werden die Seitenwandbereiche des Polysiliziummusters 56′ schräggeätzt. In diesem Fall wird das Musterätzen des Polysiliziummusters 56′ durch ein Mischgas aus HBR oder Cl2 durchgeführt, welches eine hohe Ätzselektivität im Hinblick auf Polysilizium/Oxid aufweist.Referring to FIG. 13E, a method is shown for forming the storage electrode. By using the remaining third insulation layer 630 'as a mask, the polysilicon pattern 56 ' is etched away to form a storage electrode 202 . As a result, the storage electrode 202 is formed, which has micro-trenches or micro-cylinders in the surfaces of the polysilicon pattern 56 'on which the remaining third insulation layer 630 ' is not applied. Furthermore, during the process of etching the storage electrode, the side wall regions of the polysilicon pattern 56 'are etched obliquely. In this case, the pattern etching of the polysilicon pattern 56 'is carried out by a mixed gas of HBR or Cl 2 , which has a high etching selectivity with regard to polysilicon / oxide.

Gemäß Fig. 13F ist ein Verfahren zur Bildung des Speicherkondensators dargestellt. Nach dem Verfahren der Fig. 13E wird die als Maske verwendete, verbleibende dritte Isolationsschicht 630′ durch Naßätzen unter Verwendung von BOE oder einer gepufferten HF-Lösung entfernt. Darauffolgend wird eine dielektrische Schicht 40 mit O-N-O(Oxid-Nitrid- Oxid) oder N-O-Struktur auf der gesamten Oberfläche der freigelegten Speicherelektrode 202 aufgetragen. Als nächstes wird eine zweite Leitungsschicht aus dotiertem Polysilizium auf der dielektrischen Schicht 40 aufgetragen und strukturiert, um die Plattenelektrode 400 zu bilden. Als Ergebnis ist das Verfahren zur Bildung eines Speicherkondensators mit einer Speicherelektrode 202, der dielektrischen Schicht 40 und der Plattenelektrode 400 abgeschlossen. Dann wird eine Bitleitung durch Freilegen des oberen Bereiches des Drainbereiches 20 (nicht dargestellt) gebildet. Die Bitleitung kann vor Bildung der ersten Leitungsschicht für die Speicherelektrode 202 gebildet werden.Referring to FIG. 13F, a method is shown for forming the storage capacitor. According to the method of FIG. 13E, remaining third insulating layer used as a mask 630 'is removed by wet etching using BOE or a buffered HF solution. Subsequently, a dielectric layer 40 with ONO (oxide nitride oxide) or NO structure is applied to the entire surface of the exposed storage electrode 202 . Next, a second conductive layer of doped polysilicon is deposited on the dielectric layer 40 and patterned to form the plate electrode 400 . As a result, the process of forming a storage capacitor with a storage electrode 202 , the dielectric layer 40 and the plate electrode 400 is completed. A bit line is then formed by exposing the upper region of the drain region 20 (not shown). The bit line can be formed before the first line layer for the storage electrode 202 is formed.

Im folgenden wird anhand der Fig. 14A bis 14H eine weitere Ausführungsform der Erfindung dargestellt.A further embodiment of the invention is illustrated below with reference to FIGS. 14A to 14H.

Das Verfahren gemäß Fig. 14A ist das gleiche wie das Verfahren gemäß Fig. 13A. In Fig. 14B werden eine erste Kontaktöffnung CH1, die Polysiliziumschicht 56 und die dritte Isolationsschicht 640 aufeinanderfolgend wie in Fig. 13B beschrieben gebildet. Als nächstes wird in Fig. 14C ein Photolackmuster 700 mit einer erwünschten Größe auf der dritten Isolationsschicht 640 durch ein nachfolgendes Verfahren von Auftragen, Belichten und Photoätzen des Photolacks gebildet. Dann durch Verwendung des Photolackmusters als Maske wird die dritte Isolationsschicht 46 und die Polysiliziumschicht 56 ausgeätzt, um ein Polysiliziummuster 56a gemäß der Zeichnung gebildet. Die dritte Isolationsschicht 640 wird weiter entlang des Polysiliziummusters 56a durch Naßätzen unter Verwendung von BOE oder einer gepufferten HF-Lösung abgeätzt, um ein drittes Isolationsschichtmuster 46a zu bilden. In diesem Fall ist die Ätztiefe zur Bildung des dritten Isolationsschichtmusters 640a ungefähr 500 bis 1000 Å tief.The method according to FIG. 14A is the same as the method according to FIG. 13A. In FIG. 14B, a first contact opening CH1, the polysilicon layer 56 and the third insulation layer 640 are formed in succession as described in FIG. 13B. Next, a resist pattern 700 is formed with a desired size on the third insulating layer 640 by a subsequent process of applying, exposing and photoetching the photoresist in FIG. 14C. Then, by using the photoresist pattern as a mask, the third insulation layer 46 and the polysilicon layer 56 are etched out to form a polysilicon pattern 56 a according to the drawing. The third insulation layer 640 is further etched along the polysilicon pattern 56 a by wet etching using BOE or a buffered HF solution to form a third insulation layer pattern 46 a. In this case, the etching depth for forming the third insulation layer pattern 640 a is approximately 500 to 1000 Å deep.

Gemäß Fig. 15 wird im folgenden die Fläche A der Fig. 14C im Detail erläutert. Das Polysiliziumschichtmuster 56a und das Photolackmuster 700 weisen die gleiche Größe auf. Das dritte Isolationsschichtmuster 640a ist um eine vorbestimmte Breite kleiner als das Polysiliziumschichtmuster 56a entlang seines Umfanges. In Fig. 14D wird das Photolackmuster 700 der Fig. 14C entfernt und das Polysiliziumschichtmuster 56a wird unter Verwendung des dritten Isolationsschichtmusters 640a als Maske abgeätzt, um die Bereiche B entlang des Umfanges des Polysiliziumschichtmusters 56a zu bilden. In Fig. 14E ist das dritte Isolationsschichtmuster 640a entfernt und eine vierte Isolationsschicht 650 aus einem 500 bis 1000 Å dicken HTO-Film ist auf der gesamten Oberfläche des Substrates 10 aufgetragen. Referring to FIG. 15, the area A is in the following Fig. 14C explained in detail. The polysilicon layer pattern 56 a and the photoresist pattern 700 have the same size. The third insulation layer pattern 640 a is smaller by a predetermined width than the polysilicon layer pattern 56 a along its circumference. In FIG. 14D, the photoresist pattern 700 of FIG. 14C is removed and the polysilicon layer pattern 56 a is etched away using the third insulation layer pattern 640 a as a mask in order to form the regions B along the circumference of the polysilicon layer pattern 56 a. In FIG. 14E, the third insulation layer pattern 640 a is removed and a fourth insulation layer 650 made of a 500 to 1000 Å thick HTO film is applied to the entire surface of the substrate 10 .

Ein Verfahren zum Entfernen des dritten Isolationsschichtmusters vor dem Auftragen der vierten Isolationsschicht ist vernachlässigbar. Als nächstes wird in Fig. 14F ein Rückätzen auf dem Substrat 10 durchgeführt, auf dem die vierte Isolationsschicht 650 gebildet ist, um ein viertes Isolationsschichtmuster 650a zwischen den Körnern und auf den Seitenwänden des Polysiliziumschichtmusters 56a zu erhalten. Es sei angemerkt, daß ein Abstandsstück 651 aus der verbleibenden vierten Isolationsschicht auf dem Bereich B gebildet ist. Das Abstandsstück 651 wird zur Bildung von Mikrozylindern entlang der Seitenwände der Speicherelektrode in einem späteren Verfahrensschritt verwendet. In Fig. 14C wird das Polysiliziumschichtmuster 56a um eine Dicke von 4000 A abgeätzt, indem das vierte Isolationsschichtmuster 650a als Maske verwendet wird, um die Struktur der Speicherelektrode 204 mit einer Vielzahl von Mikrogräben und/oder Mikrozylindern zu vervollständigen. In Fig. 14H werden das verbleibende vierte Isolationsschichtmuster 650a und das Abstandsstück 651 entfernt. Dann wird die Speicherelektrode 204 mit der dielektrischen Schicht 40 beschichtet und dotiertes Polysilizium auf der dielektrischen Schicht 40 zur Bildung der Plattenelektrode 400 aufgetragen. Dadurch wird das Verfahren zur Herstellung des Speicherkondensators abgeschlossen.A method of removing the third insulation layer pattern before applying the fourth insulation layer is negligible. Next, in FIG. 14F, etching back is performed on the substrate 10 on which the fourth insulation layer 650 is formed in order to obtain a fourth insulation layer pattern 650 a between the grains and on the side walls of the polysilicon layer pattern 56 a. It should be noted that a spacer 651 is formed on the area B from the remaining fourth insulation layer. Spacer 651 is used to form microcylinders along the side walls of the storage electrode in a later process step. In Fig. 14C, the polysilicon layer pattern 56 a is etched to a thickness of 4000 A by the fourth insulation layer pattern 650 a is used as a mask to the structure of the storage electrode to complete 204 having a plurality of micro grooves and / or micro cylinders. In FIG. 14H, the remaining fourth insulation layer pattern 650 a and the spacer 651 removed. Then, the storage electrode 204 is coated with the dielectric layer 40 and doped polysilicon is deposited on the dielectric layer 40 to form the plate electrode 400 . This completes the process of manufacturing the storage capacitor.

Auch wenn verschiedene Strukturen eines Speicherkondensators gemäß der Erfindung dargestellt und vorstehend beschrieben wurden, sind verschiedene Modifikationen im Rahmen der vorliegenden Erfindung möglich. Beispielsweise kann die vorliegende Erfindung zur Bildung einer Vertiefung in einem Halbleitersubstrat und dann zum Bilden eines Stapelkondensators in der Vertiefung verwendet werden. Weiterhin, in den Fällen, in denen ein Kondensator mit einer hohen Speicherkapazität auf einer begrenzten Fläche eines isolierten Substrates erfordert ist, kann dies Bilden einer Speicherelektrode mit einer Vielzahl von Mikrogräben gemäß der Erfindung erfüllt werden, wobei eine dielektrische Schicht darauf gebildet wird, auf der eine Plattenelektrode aufgetragen wird.Even if different structures are one Storage capacitor shown according to the invention and described above are different Modifications within the scope of the present invention  possible. For example, the present Invention to form a depression in one Semiconductor substrate and then to form one Stack capacitor used in the recess will. Furthermore, in the cases where a Capacitor with a high storage capacity a limited area of an isolated substrate is required, this can form one Storage electrode with a variety of micro-trenches be met according to the invention, one dielectric layer is formed thereon a plate electrode is applied.

Wie sich aus der vorstehenden Beschreibung ergibt, weist ein Speicherkondensator gemäß der Erfindung eine Speicherelektrode mit einer vergrößerten Oberfläche in einem begrenzten Bereich auf und folglich wird die Speicherkapazität erhöht. Da weiterhin Mikrogräben und/oder Mikrozylinder relativ gleichmäßig gebildet werden, wird eine hohe Zuverlässigkeit des Kondensators erzielt. Schließlich ist das erfindungsgemäße Verfahren vergleichsweise einfach.As can be seen from the above description, has a storage capacitor according to the invention a storage electrode with an enlarged Surface in a limited area on and consequently, the storage capacity is increased. There still micro-trenches and / or micro-cylinders relative formed evenly, will be high Reliability of the capacitor achieved. In the end the method according to the invention is comparative easy.

Claims (33)

1. Kondensator mit einer ersten, auf einer leitfähigen Schichten gebildete Elektrode, die auf einer begrenzten Fläche eines Substrates gebildet ist, und einer auf der ersten Elektrode gebildeten, dielektrischen Schicht und einer auf der dielektrischen Schicht gebildeten zweiten Elektrode, dadurch gekennzeichnet, daß die erste Elektrode eine Vielzahl von Mikrogräben und/oder Mikrozylindern aufweist, welche auf einer vorbestimmten Fläche der leitfähigen Schicht gebildet sind.A capacitor having a first electrode formed on a conductive layer formed on a limited area of a substrate and a dielectric layer formed on the first electrode and a second electrode formed on the dielectric layer, characterized in that the first Electrode has a plurality of micro-trenches and / or micro-cylinders, which are formed on a predetermined surface of the conductive layer. 2. Der Kondensator nach Anspruch 1, dadurch gekennzeichnet, daß die leitfähige Schicht eine Polysiliziumschicht ist.2. The capacitor according to claim 1, characterized, that the conductive layer is a polysilicon layer is. 3. Eine Halbleiterspeicherzelle mit einem Transfertransistor, der Source- und Drainbereiche eines zweiten Leitfähigkeitstyps, die auf einem Halbleitersubstrat eines ersten Leitfähigkeitstyps gebildet sind, eine erste, leitfähige Schicht, welche benachbart zu den Source- und Drainbereichen und von einem Kanalbereich durch eine Gateoxidschicht isoliert zwischen dem Source- und Drainbereich gebildet ist, und eine erste Isolationsschicht, welche zur Isolierung der ersten leitfähigen Schicht diese überdeckt, aufweist und mit einem Speicherkondensator, der eine auf dem Substrat gebildete Feldoxidschicht benachbart zu dem Sourcebereich, eine erste Elektrode, welche den Sourcebereich kontaktiert und einen vorbestimmten Bereich der ersten leitfähigen Schicht überlappt und sich oberhalb der Feldoxidschicht erstreckt, eine die erste Elektrode bedeckende dielektrische Schicht und eine die dielektrische Schicht überdeckende zweite Elektrode aufweist, dadurch gekennzeichnet, daß eine Vielzahl von Mikrogräben und/oder Mikrozylindern auf der ersten Elektrode gebildet sind.3. A semiconductor memory cell with one Transfer transistor, the source and drain regions of a second conductivity type based on a Semiconductor substrate of a first conductivity type are formed, a first conductive layer, which adjacent to the source and drain regions and from a channel region through a gate oxide layer isolated between the source and drain areas is formed, and a first insulation layer, which is used to insulate the first conductive layer this covers, has and with a Storage capacitor, the one on the substrate field oxide layer formed adjacent to the  Source region, a first electrode, which the Source area contacted and a predetermined Area of the first conductive layer overlaps and extends above the field oxide layer, one that first electrode covering dielectric layer and a second covering the dielectric layer Has electrode, characterized in that a Variety of micro trenches and / or micro cylinders the first electrode are formed. 4. Die Halbleiterspeicherzelle nach Anspruch 3, dadurch gekennzeichnet, daß die Mikrogräben und/oder Mikrozylinder in Bodenbereichen hemisphärisch ausgebildet sind.4. The semiconductor memory cell according to claim 3, characterized in that the micro-trenches and / or Microcylinder hemispherical in floor areas are trained. 5. Ein auf einer begrenzten Fläche eines Substrates gebildeter Kondensator mit einer ersten Elektrode aus auf einer Isolationsschicht gebildeten, leitfähigen Schicht, einer auf der ersten Elektrode gebildeten dielektrischen Schicht und einer auf der dielektrischen Schicht gebildeten zweiten Elektrode, dadurch gekennzeichnet, daß die erste Elektrode eine Vielzahl von Mikrogräben und/oder Mikrozylindern der leitfähigen Schicht aufweist, wobei die leitfähige Schicht in Bodenbereichen der Mikrogräben und/oder Mikrozylinder in Kontakt mit der Isolationsschicht ist und eine dünne, leitfähige Schicht die leitfähige Schicht im Inneren und Äußeren der Mikrogräben und/oder Mikrozylinder überdeckt. 5. One on a limited area of a substrate formed capacitor with a first electrode formed on an insulating layer, conductive Layer, one formed on the first electrode dielectric layer and one on the dielectric layer formed second electrode, characterized, that the first electrode has a variety of micro-trenches and / or microcylinders of the conductive layer has, wherein the conductive layer in Bottom regions of the micro trenches and / or micro cylinders is in contact with the insulation layer and one thin, conductive layer the conductive layer in the Inside and outside of the micro trenches and / or Micro cylinder covered.   6. Der Kondensator nach Anspruch 5, dadurch gekennzeichnet, daß die dünne, leitfähige Schicht aus Polysilizium ist.6. The capacitor according to claim 5, characterized, that the thin, conductive layer of polysilicon is. 7. Der Kondensator nach Anspruch 5, dadurch gekennzeichnet, daß die Mikrogräben und/oder Mikrozylinder eine Schraubenloch-artige Struktur aufweisen, die sich durch die leitfähige Schicht erstreckt.7. The capacitor according to claim 5, characterized, that the micro trenches and / or micro cylinders a Have screw-hole-like structure that extends through the conductive layer. 8. Eine Halbleiterspeicherzelle mit einem Transfertransistor, der aufweist: Source- und Drainbereiche eines zweiten Leitfähigkeitstyps, die auf einem Halbleitersubstrat eines ersten Leitfähigkeitstyps gebildet sind; eine erste, leitfähige Schicht benachbart zu den Source- und Drainbereichen und von einem Kanalbereich durch eine Gateoxidschicht isoliert, welche zwischen den Source- und Drainbereichen gebildet ist; und einer ersten Isolationsschicht, die zur Isolierung der ersten, leitfähigen Schicht diese bedeckt, und mit einem Speicherkondensator, der aufweist: eine zweite, leitfähige Schicht, die den Drainbereich kontaktiert und sich oberhalb der ersten Isolationsschicht erstreckt; einer zweiten Isolationsschicht, die die zweite, leitfähige Schicht zu deren Isolierung überdeckt; einer auf dem Substrat gebildeten Feldoxidschicht benachbart zum Sourcebereich; einer aus einer leitfähigen Schicht gebildeten, ersten Elektrode, welche den Sourcebereich kontaktiert und einen vorbestimmten Bereich der ersten, leitfähigen Schicht überlappt und sich über der Feldoxidschicht erstreckt; einer die erste Elektrode überdeckenden dielektrischen Schicht; und einer die dielektrische Schicht überdeckenden zweiten Elektrode, dadurch gekennzeichnet, daß die erste Elektrode aufweist: eine Vielzahl von Mikrogräben und/oder Mikrozylindern der leitfähigen Schicht, wobei diese in Bodenbereichen der Mikrogräben und/oder Mikrozylinder in Kontakt mit der Isolationsschicht ist, und eine dünne, leitfähige Schicht, welche die leitfähige Schicht im Inneren und Äußeren der Mikrogräben und/oder Mikrozylinder bedeckt.8. A semiconductor memory cell with one Transfer transistor, which comprises: source and Drain areas of a second conductivity type, the on a semiconductor substrate of a first Conductivity type are formed; a first, conductive layer adjacent to the source and Drain areas and from one channel area through one Insulated gate oxide layer, which between the source and drain regions are formed; and a first Insulation layer used to insulate the first, conductive layer covered this, and with a Storage capacitor, comprising: a second, conductive layer that contacts the drain area and above the first insulation layer extends; a second layer of insulation that the second, conductive layer for their insulation covered; one formed on the substrate Field oxide layer adjacent to the source region; one first formed from a conductive layer Electrode which contacts the source region and a predetermined range of the first conductive Layer overlaps and over the field oxide layer  extends; a covering the first electrode dielectric layer; and one the dielectric Layer covering second electrode, characterized, that the first electrode has: a plurality of Micro trenches and / or micro cylinders of the conductive Layer, this in floor areas of the Micro trenches and / or micro cylinders in contact with the Insulation layer, and a thin, conductive Layer which is the conductive layer inside and Exterior of the micro trenches and / or micro cylinders covered. 9. Die Halbleiterspeicherzelle nach Anspruch 8, dadurch gekennzeichnet, daß die erste und zweite leitfähige Schicht eine Wortleitung bzw. eine Bitleitung ist.9. The semiconductor memory cell according to claim 8, characterized, that the first and second conductive layers are one Word line or a bit line is. 10. Die Halbleiterspeichereinrichtung nach Anspruch 8, dadurch gekennzeichnet, daß die Mikrogräben und/oder Mikrozylinder eine Schraubenloch-artige Struktur aufweisen, welche durch die leitfähige Schicht hindurchgeht.10. The semiconductor memory device according to claim 8th, characterized, that the micro trenches and / or micro cylinders a Have screw hole-like structure, which by the conductive layer passes through. 11. Die Halbleiterspeicherzelle nach Anspruch 8, dadurch gekennzeichnet, daß die dünne, leitfähige Schicht aus Polysilizium ist.11. The semiconductor memory cell according to claim 8, characterized, that the thin, conductive layer of polysilicon is. 12. Die Halbleiterspeicherzelle nach Anspruch 8, dadurch gekennzeichnet, daß die zweite Isolationsschicht eine eingeebnete Oberfläche aufweist.12. The semiconductor memory cell according to claim 8, characterized,  that the second insulation layer is a leveled one Surface. 13. Eine Halbleiterspeicherzelle mit einem Transfertransistor, der aufweist: Source- und Drainbereiche eines zweiten Leitfähigkeitstyps, welche auf einem Halbleitersubstrat eines ersten Leitfähigkeitstyps gebildet sind; eine erste, leitfähige Schicht benachbart zu den Source- und Drainbereichen und von einem Kanalbereich durch eine Gateoxidschicht isoliert, welche zwischen dem Source- und Drainbereich gebildet ist; und eine Isolationsschicht, welche die erste, leitfähige Schicht zu deren Isolierung bedeckt, und mit einem Speicherkondensator, der aufweist: eine auf dem Substrat gebildete Feldoxidschicht, welche benachbart zum Sourcebereich angeordnet ist; eine aus einer leitfähigen Schicht gebildeten, ersten Elektrode, die den Sourcebereich kontaktiert und einen vorbestimmten Bereich der ersten, leitfähigen Schicht überdeckt und sich oberhalb der Feldoxidschicht erstreckt; einer die erste Elektrode bedeckende dielektrische Schicht; und eine die dielektrische Schicht bedeckende zweite Elektrode, dadurch gekennzeichnet, daß die erste Elektrode eine Vielzahl von Mikrogräben und/oder Mikrozylinder der leitfähigen Schicht aufweist, wobei die leitfähige Schicht in Bodenbereichen der Mikrogräben und/oder Mikrozylinder in Kontakt mit der Isolationsschicht ist, und eine dünne, leitfähige Schicht die leitfähige Schicht im Inneren und Äußeren der Mikrogräben und/oder Mikrozylinder bedeckt. 13. A semiconductor memory cell with one Transfer transistor, which comprises: source and Drain areas of a second conductivity type, which on a semiconductor substrate of a first Conductivity type are formed; a first, conductive layer adjacent to the source and Drain areas and from one channel area through one Insulated gate oxide layer, which between the source and drain region is formed; and a Insulation layer which is the first conductive Layer for their insulation, and covered with a Storage capacitor comprising: one on the Field oxide layer formed substrate, which is adjacent is arranged to the source region; one out of one conductive layer formed, the first electrode contacted the source region and a predetermined one Covered area of the first conductive layer and extends above the field oxide layer; one dielectric layer covering the first electrode; and a second one covering the dielectric layer Electrode, characterized, that the first electrode has a variety of micro-trenches and / or microcylinder of the conductive layer has, wherein the conductive layer in Bottom regions of the micro trenches and / or micro cylinders is in contact with the insulation layer, and a thin, conductive layer the conductive layer in the Inside and outside of the micro trenches and / or Micro cylinder covered.   14. Die Halbleiterspeicherzelle nach Anspruch 13, dadurch gekennzeichnet, daß die Mikrogräben und/oder Mikrozylinder eine Schraubenloch-artige Struktur aufweisen, die die leitfähige Schicht durchsetzt.14. The semiconductor memory cell according to claim 13, characterized, that the micro trenches and / or micro cylinders a Have screw hole-like structure that the conductive layer penetrates. 15. Die Halbleiterspeicherzelle nach Anspruch 13, dadurch gekennzeichnet, daß die dünne, leitfähige Schicht aus Polysilizium ist.15. The semiconductor memory cell according to claim 13, characterized in that the thin, conductive Layer is made of polysilicon. 16. Ein Verfahren zur Bildung einer Speicherelektrode mit einer Vielzahl von Mikrogräben und/oder Mikrozylindern mit den folgenden Schritten:
Bilden von Körnern auf einer Oberfläche der Speicherelektrode;
Bilden einer Ätzmaskenschicht auf Seitenwänden der entsprechenden Körner; und
Durchführen eines anisotropen Ätzens mit der Ätzmaskenschicht als Maske.
16. A method of forming a storage electrode having a plurality of micro trenches and / or micro cylinders with the following steps:
Forming grains on a surface of the storage electrode;
Forming an etch mask layer on side walls of the corresponding grains; and
Performing an anisotropic etching with the etching mask layer as a mask.
17. Das Verfahren nach Anspruch 16, gekennzeichnet durch den weiteren Schritt:
Entfernen scharfer Kanten der Mikrogräben und/oder
Mikrozylinder nach dem Verfahrensschritt der Durchführung des anisotropen Ätzens.
17. The method according to claim 16, characterized by the further step:
Removing sharp edges of the micro trenches and / or
Micro cylinder after the step of performing the anisotropic etching.
18. Ein Verfahren zur Herstellung einer Speicherelektrode mit einer Vielzahl von Mikrogräben und/oder Mikrozylindern, gekennzeichnet durch die Verfahrensschritte:
Einebnen einer Oberfläche einer Isolationsschicht, auf der die Speicherelektrode gebildet ist;
Bilden von Körnern auf einer Oberfläche der Speicherelektrode;
Bilden einer Ätzmaskenschicht auf Seitenwänden der entsprechenden Körner;
Durchführen eines anisotropen Ätzens unter Verwendung der Ätzmaskenschicht als Maske, um Schraubloch- ähnliche Öffnungen zu bilden, welche durch die Speicherelektrode hindurchführen, um die Isolationsschicht freizulegen; und
Bilden einer dünnen, leitfähigen Schicht aus Polysilizium, welche das Innere und Äußere der Schraubenloch-artigen Öffnungen bedeckt.
18. A method for producing a storage electrode with a plurality of micro-trenches and / or micro-cylinders, characterized by the method steps:
Flattening a surface of an insulation layer on which the storage electrode is formed;
Forming grains on a surface of the storage electrode;
Forming an etch mask layer on side walls of the corresponding grains;
Performing anisotropic etching using the etch mask layer as a mask to form screw hole-like openings that pass through the storage electrode to expose the insulation layer; and
Form a thin, conductive layer of polysilicon covering the inside and outside of the screw hole-like openings.
19. Ein Verfahren zur Herstellung eines Speicherkondensators zur Verwendung in einer Halbleiterspeichereinrichtung unter Verwendung einer Polysiliziumschicht mit einer Vielzahl von hemisphärischen Körnern, gekennzeichnet durch die folgenden Schritte:
Bilden einer Ätzmaskenschicht auf der Oberfläche der hemisphärischen Körner;
Strukturieren der Polysiliziumschicht;
Durchführen eines anisotropen Ätzens unter Verwendung der Ätzmaskenschicht als Maske; und
Entfernen der Ätzmaskenschicht zur Bildung einer Speicherelektrode.
19. A method of manufacturing a storage capacitor for use in a semiconductor memory device using a polysilicon layer having a plurality of hemispherical grains, characterized by the following steps:
Forming an etch mask layer on the surface of the hemispherical grains;
Structuring the polysilicon layer;
Performing an anisotropic etching using the etching mask layer as a mask; and
Remove the etch mask layer to form a storage electrode.
20. Verfahren nach Anspruch 19, gekennzeichnet durch die weiteren Schritte:
Oxidieren der obersten Fläche der hemisphärischen Körner zur Bildung der Ätzmaskenschicht auf ihnen.
20. The method according to claim 19, characterized by the further steps:
Oxidize the top surface of the hemispherical grains to form the etch mask layer on them.
21. Verfahren nach Anspruch 19, gekennzeichnet durch den weiteren Schritt:
Durchführen eines anisotropen Ätzens der Polysiliziumschicht zwischen den hemisphärischen Körnern.
21. The method according to claim 19, characterized by the further step:
Performing anisotropic etching of the polysilicon layer between the hemispherical grains.
22. Verfahren nach Anspruch 19, gekennzeichnet durch die weiteren Schritte:
Bilden einer dielektrischen Schicht auf der Speicherelektrode; und
Bilden einer Plattenelektrode auf der dielektrischen Schicht.
22. The method according to claim 19, characterized by the further steps:
Forming a dielectric layer on the storage electrode; and
Form a plate electrode on the dielectric layer.
23. Ein Verfahren zur Bildung einer ersten Elektrode einer Speicherelektrode in einer Halbleiterspeichereinrichtung mit:
einem Transfertransistor, der aufweist:
Source- und Drainbereiche eines zweiten Leitfähigkeitstyps, welcher auf einem Halbleitersubstrat eines ersten Leitfähigkeitstyps gebildet sind;
eine erste, leitfähige Schicht benachbart zu dem Source- und Drainbereich, welche von einem zwischen dem Source- und Drainbereich gebildeten Kanalbereich durch eine Gateoxidschicht isoliert ist, und
eine erste Isolationsschicht, welche die erste, leitfähige Schicht zu deren Isolierung bedeckt, und mit einem Speicherkondensator, der aufweist:
eine zweite, leitfähige Schicht, die den Drainbereich kontaktiert und sich oberhalb der ersten Isolationsschicht erstreckt; eine zweite Isolationsschicht, welche die zweite, leitfähige Schicht zu deren Isolierung bedeckt; ein auf dem Substrat gebildete Feldoxidschicht, die benachbart zu dem Sourcebereich angeordnet ist;
wobei die erste Elektrode aus einer leitfähigen Schicht gebildet ist, die mit dem Sourcebereich in Kontakt ist, wobei die erste Elektrode einen bestimmten Abschnitt der ersten, leitfähigen Schicht überlappt und sich oberhalb der Feldoxidschicht erstreckt;
eine die erste Elektrode überdeckenden, dielektrischen Schicht; und
einer die dielektrische Schicht überdeckenden zweiten Elektrode, gekennzeichnet durch die folgenden Verfahrensschritte:
Bilden einer den Sourcebereich kontaktierenden Polysiliziumschicht mit einer Vielzahl von hemisphärischen Körnern auf deren Oberfläche, welche die zweite Isolationsschicht überdeckt;
Bilden einer Ätzmaskenschicht auf der obersten Oberfläche der hemisphärischen Körner;
Strukturieren der Polysiliziumschicht;
Durchführen eines anisotropen Ätzens unter Verwendung der Ätzmaskenschicht als Maske; und
Entfernen der Ätzmaskenschicht.
23. A method for forming a first electrode of a storage electrode in a semiconductor memory device comprising:
a transfer transistor, which has:
Source and drain regions of a second conductivity type, which are formed on a semiconductor substrate of a first conductivity type;
a first conductive layer adjacent to the source and drain region, which is insulated from a channel region formed between the source and drain region by a gate oxide layer, and
a first insulation layer, which covers the first, conductive layer for its insulation, and with a storage capacitor, which comprises:
a second conductive layer that contacts the drain region and extends above the first insulation layer; a second insulation layer covering the second conductive layer for insulation thereof; a field oxide layer formed on the substrate and disposed adjacent to the source region;
wherein the first electrode is formed from a conductive layer that is in contact with the source region, the first electrode overlapping a certain portion of the first conductive layer and extending above the field oxide layer;
a dielectric layer covering the first electrode; and
a second electrode covering the dielectric layer, characterized by the following method steps:
Forming a polysilicon layer contacting the source region with a plurality of hemispherical grains on the surface thereof, which covers the second insulation layer;
Forming an etch mask layer on the top surface of the hemispherical grains;
Structuring the polysilicon layer;
Performing an anisotropic etching using the etching mask layer as a mask; and
Remove the etch mask layer.
24. Das Verfahren nach Anspruch 23, gekennzeichnet durch einen weiteren Schritt:
Oxidieren der obersten Oberflächen der hemisphärischen Körner zur Bildung der Ätzmaskenschicht auf diesen.
24. The method according to claim 23, characterized by a further step:
Oxidize the top surfaces of the hemispherical grains to form the etch mask layer thereon.
25. Verfahren nach Anspruch 23, gekennzeichnet durch einen weiteren Schritt:
Durchführen eines anisotropen Ätzens der Polysiliziumschicht zwischen den hemisphärischen Körnern.
25. The method according to claim 23, characterized by a further step:
Performing anisotropic etching of the polysilicon layer between the hemispherical grains.
26. Ein Verfahren zur Herstellung einer Speicherelektrode eines Speicherkondensators über einer eingeebneten Isolationsschicht auf einem Halbleitersubstrat mit einem Aktivbereich, gekennzeichnet durch die folgenden Schritte:
Bilden einer Polysiliziumschicht auf der Isolationsschicht, welche den Aktivbereich kontaktiert und eine Vielzahl von hemisphärischen Körnern aufweist, die in einer vorbestimmten Entfernung voneinander angeordnet sind;
Bilden einer SiN-Schicht auf der Polysiliziumschicht;
Auftragen einer eingeebneten, aufgeschleuderten Glasschicht auf der SiN-Schicht;
Durchführen eines Rückätzens der aufgeschleuderten Glasschicht, um die SiN-Schicht auf den obersten Oberflächen der hemisphärischen Körner freizulegen;
Entfernen der freigelegten SiN-Schicht zum Freilegen der obersten Oberflächen der hemisphärischen Körner;
Oxidieren der obersten Oberflächen der hemisphärischen Körner zur Bildung einer Ätzmaskenschicht auf ihnen;
Durchführen eines anisotropen Ätzens der Polysiliziumschicht unter Verwendung der Ätzmaskenschicht als Maske; und
Entfernen der Ätzmaskenschicht.
26. A method for producing a storage electrode of a storage capacitor over a leveled insulation layer on a semiconductor substrate with an active area, characterized by the following steps:
Forming a polysilicon layer on the insulation layer which contacts the active region and has a plurality of hemispherical grains arranged at a predetermined distance from each other;
Forming a SiN layer on the polysilicon layer;
Applying a leveled, spin-coated glass layer on the SiN layer;
Performing an etch back on the spun glass layer to expose the SiN layer on the top surfaces of the hemispherical grains;
Removing the exposed SiN layer to expose the top surfaces of the hemispherical grains;
Oxidizing the top surfaces of the hemispherical grains to form an etch mask layer thereon;
Performing anisotropic etching of the polysilicon layer using the etching mask layer as a mask; and
Remove the etch mask layer.
27. Verfahren nach Anspruch 26, gekennzeichnet durch den weiteren Schritt:
Durchführen eines anisotropen Ätzens der Polysiliziumschicht zwischen den hemisphärischen Körnern.
27. The method according to claim 26, characterized by the further step:
Performing anisotropic etching of the polysilicon layer between the hemispherical grains.
28. Ein Verfahren zur Herstellung einer Speicherelektrode eines Speicherkondensators über eines eingeebneten Isolationsschicht gebildet auf einem Halbleitersubstrat mit einem Aktivbereich, gekennzeichnet durch die folgenden Schritte:
Bilden einer ersten Zwischenschicht der Isolationsschicht vom ersten und zweiten Isolationsschicht aufeinanderfolgend auf der eingeebneten Isolationsschicht;
Bilden einer Kontaktöffnung durch die Zwischenschichtisolationschicht und die erste und zweite Isolationsschicht, um den aktiven Bereich freizulegen;
Bilden einer Polysiliziumschicht auf der zweiten Isolationsschicht, welche den Aktivbereich kontaktiert und eine Vielzahl von hemisphärischen Körnern aufweist;
Ätzen der Polysiliziumschicht zur Bildung eines Musters;
Bilden einer Isolationsschicht auf dem Halbleitersubstrat, welche die Polysiliziumschicht überdeckt, und Rückätzen der Isolationsschicht, um ein Ätzmaskenmuster mit den verbleibenden Teilen der Isolationsschicht zu bilden;
Ätzen der Polysiliziumschicht unter Verwendung des Ätzmaskenmusters als Maske.
28. A method for producing a storage electrode of a storage capacitor over a leveled insulation layer formed on a semiconductor substrate with an active area, characterized by the following steps:
Forming a first intermediate layer of the insulation layer from the first and second insulation layers successively on the flattened insulation layer;
Forming a contact opening through the interlayer insulation layer and the first and second insulation layers to expose the active area;
Forming a polysilicon layer on the second insulation layer which contacts the active region and has a plurality of hemispherical grains;
Etching the polysilicon layer to form a pattern;
Forming an insulation layer on the semiconductor substrate covering the polysilicon layer and etching back the insulation layer to form an etch mask pattern with the remaining parts of the insulation layer;
Etch the polysilicon layer using the etch mask pattern as a mask.
29. Verfahren nach Anspruch 28, dadurch gekennzeichnet, daß die erste Isolationsschicht eine Nitridschicht ist.29. The method according to claim 28, characterized, that the first insulation layer is a nitride layer is. 30. Verfahren nach Anspruch 28, gekennzeichnet durch den weiteren Schritt:
Bilden des Ätzmaskenmusters zwischen den hemisphärischen Körnern und den Seitenwänden des Musters der Polysiliziumschicht.
30. The method according to claim 28, characterized by the further step:
Forming the etch mask pattern between the hemispherical grains and the sidewalls of the polysilicon layer pattern.
31. Ein Verfahren zur Herstellung einer Speicherelektrode eines Speicherkondensators auf einer eingeebneten Isolationsschicht auf einem Halbleitersubstrat mit einem Aktivbereich, gekennzeichnet durch die Schritte:
Bilden einer ersten Zwischenschichtisolationsschicht, einer ersten und zweiten Isolationsschicht aufeinanderfolgend auf der eingeebneten Isolationsschicht;
Bilden einer Kontaktöffnung durch die Zwischenschichtisolationsschicht und die erste und zweite Isolationsschicht, um den Aktivbereich freizulegen;
Bilden einer Polysiliziumschicht auf der zweiten Isolationsschicht, die den Aktivbereich kontaktiert und eine Vielzahl von hemisphärischen Körnern aufweist;
Bilden einer dritten Isolationsschicht auf der Polysiliziumschicht;
Ätzen der Polysiliziumschicht und der dritten Isolationsschicht zur Bildung eines Musters;
Ätzen vorbestimmter Teile der strukturierten dritten Isolationsschicht zur Bildung eines ersten Ätzmaskenmusters aus der dritten Isolationsschicht;
Ätzen der Polysiliziumschicht mit einer vorbestimmten Dicke unter Verwendung der ersten Maskenschicht als Maske;
Auftragen einer vierten Isolationsschicht auf der gesamten Oberfläche des Halbleitersubstrates;
Rückätzen der vierten Isolationsschicht zur Bildung eines zweiten Ätzmaskenmusters mit verbleibenden Teilen der vierten Isolationsschicht;
Ätzen der Polysiliziumschicht unter Verwendung des zweiten Ätzmaskenmusters als Maske.
31. A method for producing a storage electrode of a storage capacitor on a leveled insulation layer on a semiconductor substrate with an active area, characterized by the steps:
Forming a first interlayer insulation layer, a first and a second insulation layer sequentially on the leveled insulation layer;
Forming a contact opening through the interlayer insulation layer and the first and second insulation layers to expose the active area;
Forming a polysilicon layer on the second insulation layer that contacts the active region and has a plurality of hemispherical grains;
Forming a third insulation layer on the polysilicon layer;
Etching the polysilicon layer and the third insulation layer to form a pattern;
Etching predetermined portions of the patterned third insulation layer to form a first etch mask pattern from the third insulation layer;
Etching the polysilicon layer to a predetermined thickness using the first mask layer as a mask;
Applying a fourth insulation layer on the entire surface of the semiconductor substrate;
Etching back the fourth insulation layer to form a second etching mask pattern with remaining parts of the fourth insulation layer;
Etch the polysilicon layer using the second etch mask pattern as a mask.
32. Das Verfahren nach Anspruch 31, dadurch gekennzeichnet, daß das erste Ätzmaskenmuster eine kleinere Breite als die strukturierte Polysiliziumschicht entlang deren Umfang aufweist.32. The method according to claim 31, characterized, that the first etch mask pattern has a smaller width than the patterned polysilicon layer along has the scope. 33. Verfahren nach Anspruch 31, dadurch gekennzeichnet, daß das zweite Ätzmaskenmuster zwischen den hemisphärischen Körnern und den Seitenwänden der strukturierten Polysiliziumschicht gebildet ist.33. The method according to claim 31, characterized, that the second etching mask pattern between the hemispherical grains and the side walls of the structured polysilicon layer is formed.
DE4229837A 1991-09-07 1992-09-07 Method for producing a storage capacitor for a semiconductor memory cell Expired - Fee Related DE4229837C2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR910015626 1991-09-07
KR920005409 1992-03-31

Publications (2)

Publication Number Publication Date
DE4229837A1 true DE4229837A1 (en) 1993-03-11
DE4229837C2 DE4229837C2 (en) 1996-07-11

Family

ID=26628732

Family Applications (1)

Application Number Title Priority Date Filing Date
DE4229837A Expired - Fee Related DE4229837C2 (en) 1991-09-07 1992-09-07 Method for producing a storage capacitor for a semiconductor memory cell

Country Status (6)

Country Link
JP (1) JP2690434B2 (en)
DE (1) DE4229837C2 (en)
FR (1) FR2681178A1 (en)
GB (1) GB2259406B (en)
IT (1) IT1256130B (en)
TW (1) TW222710B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5892702A (en) * 1993-07-07 1999-04-06 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device and method of manufacturing the same
NL1007477C2 (en) * 1997-09-22 1999-05-10 United Microelectronics Corp Method for increasing capacity.
FR2998417A1 (en) * 2012-11-16 2014-05-23 St Microelectronics Rousset METHOD FOR PRODUCING AN INTEGRATED CIRCUIT POINT ELEMENT, AND CORRESPONDING INTEGRATED CIRCUIT
US9670058B2 (en) 2012-04-02 2017-06-06 Stmicroelectronics (Rousset) Sas Integrated circuit provided with a device for detecting its spatial orientation and/or a modification of this orientation

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960002097B1 (en) * 1992-02-28 1996-02-10 삼성전자주식회사 Method of making a capacitor for a semiconductor device
US5254503A (en) * 1992-06-02 1993-10-19 International Business Machines Corporation Process of making and using micro mask
US5383088A (en) * 1993-08-09 1995-01-17 International Business Machines Corporation Storage capacitor with a conducting oxide electrode for metal-oxide dielectrics
US5512768A (en) * 1994-03-18 1996-04-30 United Microelectronics Corporation Capacitor for use in DRAM cell using surface oxidized silicon nodules
KR100675275B1 (en) 2004-12-16 2007-01-26 삼성전자주식회사 Semiconductor device and pad arrangement method thereof
TWI295822B (en) 2006-03-29 2008-04-11 Advanced Semiconductor Eng Method for forming a passivation layer
US11825645B2 (en) 2020-06-04 2023-11-21 Etron Technology, Inc. Memory cell structure
JP7339319B2 (en) * 2021-12-03 2023-09-05 ▲ゆ▼創科技股▲ふん▼有限公司 memory cell structure

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4113776A1 (en) * 1991-01-30 1992-08-13 Samsung Electronics Co Ltd HIGHLY INTEGRATED SEMICONDUCTOR STORAGE DEVICE AND METHOD FOR THEIR PRODUCTION (HCC CELL)
DE4222584A1 (en) * 1991-07-11 1993-01-21 Gold Star Electronics Hyperfine structure mfr. to increase capacitor area in IC - by anisotropic etching of a doped poly:silicon layer or matrix layer using a deposited poly:silicon@ layer consisting of isolated hemispheres as masking aid

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63240057A (en) * 1987-03-27 1988-10-05 Fujitsu Ltd Stack type capacitor
JPH01282855A (en) * 1988-05-09 1989-11-14 Mitsubishi Electric Corp Forming capacitor on semiconductor substrate
JPH03165552A (en) * 1989-11-24 1991-07-17 Sony Corp Stacked capacitor type dram and manufacture thereof
JPH03166730A (en) * 1989-11-27 1991-07-18 Seiko Instr Inc Manufacture of semiconductor device
DD299990A5 (en) * 1990-02-23 1992-05-14 Dresden Forschzentr Mikroelek One-transistor memory cell arrangement and method for its production
US5049517A (en) * 1990-11-07 1991-09-17 Micron Technology, Inc. Method for formation of a stacked capacitor
US5037773A (en) * 1990-11-08 1991-08-06 Micron Technology, Inc. Stacked capacitor doping technique making use of rugged polysilicon
KR930009583B1 (en) * 1990-11-29 1993-10-07 삼성전자 주식회사 Method for manufacturing a semiconductor device with villus-type capacitor
JPH04207066A (en) * 1990-11-30 1992-07-29 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4113776A1 (en) * 1991-01-30 1992-08-13 Samsung Electronics Co Ltd HIGHLY INTEGRATED SEMICONDUCTOR STORAGE DEVICE AND METHOD FOR THEIR PRODUCTION (HCC CELL)
DE4222584A1 (en) * 1991-07-11 1993-01-21 Gold Star Electronics Hyperfine structure mfr. to increase capacitor area in IC - by anisotropic etching of a doped poly:silicon layer or matrix layer using a deposited poly:silicon@ layer consisting of isolated hemispheres as masking aid

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
IBM Techn. Discl. Bull., Vol. 33, No. 9, Febr. 1991, S. 436/437 *

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5892702A (en) * 1993-07-07 1999-04-06 Mitsubishi Denki Kabushiki Kaisha Semiconductor memory device and method of manufacturing the same
NL1007477C2 (en) * 1997-09-22 1999-05-10 United Microelectronics Corp Method for increasing capacity.
FR2770930A1 (en) * 1997-09-22 1999-05-14 United Microelectronics Corp High capacitance charge storage capacitor for a DRAM
US9670058B2 (en) 2012-04-02 2017-06-06 Stmicroelectronics (Rousset) Sas Integrated circuit provided with a device for detecting its spatial orientation and/or a modification of this orientation
FR2998417A1 (en) * 2012-11-16 2014-05-23 St Microelectronics Rousset METHOD FOR PRODUCING AN INTEGRATED CIRCUIT POINT ELEMENT, AND CORRESPONDING INTEGRATED CIRCUIT
US10379254B2 (en) 2012-11-16 2019-08-13 Stmicroelectronics (Rousset) Sas Method for producing an integrated circuit pointed element comprising etching first and second etchable materials with a particular etchant to form an open crater in a projection
US11536872B2 (en) 2012-11-16 2022-12-27 Stmicroelectronics (Rousset) Sas Method for producing an integrated circuit pointed element comprising etching first and second etchable materials with a particular etchant to form an open crater in a project

Also Published As

Publication number Publication date
FR2681178A1 (en) 1993-03-12
IT1256130B (en) 1995-11-29
JP2690434B2 (en) 1997-12-10
GB2259406A (en) 1993-03-10
DE4229837C2 (en) 1996-07-11
FR2681178B1 (en) 1997-02-07
GB2259406B (en) 1996-05-01
GB9218898D0 (en) 1992-10-21
JPH05198745A (en) 1993-08-06
TW222710B (en) 1994-04-21
ITMI922067A0 (en) 1992-09-04
ITMI922067A1 (en) 1994-03-04

Similar Documents

Publication Publication Date Title
DE4220497B4 (en) Semiconductor memory device and method for its production
DE19521489B4 (en) Capacitor plate and capacitor, each formed in a semiconductor device, the use of such a capacitor as a storage capacitor of a semiconductor device, methods for producing a capacitor and use of such a method for the production of DRAM devices
DE4016686C2 (en) Method of manufacturing a semiconductor memory
DE19860769C2 (en) Method for forming a self-positioning contact in a semiconductor component
DE3842474C2 (en) Method of making a stacked capacitor DRAM
DE19933480A1 (en) Dynamic random access memory (DRAM) cell capacitor production
DE4224946A1 (en) SEMICONDUCTOR COMPONENT WITH A CAPACITOR AND METHOD FOR THE PRODUCTION THEREOF
DE69733055T2 (en) Manufacturing Method for DRAM Stacking Capacitor
DE19719699A1 (en) High density dynamic random access memory formation
DE4316503C2 (en) Process for the production of memory cells with hidden bit conductors
DE4201520A1 (en) METHOD FOR PRODUCING A DRAM ARRANGEMENT
DE4213945A1 (en) Memory capacitor in semiconductor device for DRAM cells - comprises conductively doped poly:silicon@ layers and insulating layer comprising capacitor dielectric adjacent to memory node of 2nd poly:silicon@ layer
DE4007582C2 (en) Method for producing at least two contacts in a semiconductor component
DE4328510C2 (en) Method for producing a semiconductor memory component with a capacitor
EP0875937A2 (en) DRAM cell array and method of making the same
DE19848782C2 (en) Method for manufacturing a memory electrode of a DRAM cell capacitor
DE4327813C2 (en) Process for the production of a DRAM
DE4229837C2 (en) Method for producing a storage capacitor for a semiconductor memory cell
DE19860884A1 (en) Method of making a dram cell capacitor
DE4232621C1 (en) Manufacturing process for a self-aligned contact hole and semiconductor structure
EP1125328B1 (en) Method for producing a dram cell arrangement
WO2000019529A1 (en) Integrated circuit comprising vertical transistors, and a method for the production thereof
EP1129482B1 (en) Method for producing a DRAM Cell System
DE4407532C2 (en) DRAM memory cell and method of manufacturing the same
EP0925607B1 (en) Method for producing a dram cellular arrangement

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
D2 Grant after examination
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee