DE4023511A1 - Verfahren und vorrichtung zur durchfuehrung einer vakuumbehandlung - Google Patents

Verfahren und vorrichtung zur durchfuehrung einer vakuumbehandlung

Info

Publication number
DE4023511A1
DE4023511A1 DE4023511A DE4023511A DE4023511A1 DE 4023511 A1 DE4023511 A1 DE 4023511A1 DE 4023511 A DE4023511 A DE 4023511A DE 4023511 A DE4023511 A DE 4023511A DE 4023511 A1 DE4023511 A1 DE 4023511A1
Authority
DE
Germany
Prior art keywords
chamber
plasma
treatment
electron
reaction gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE4023511A
Other languages
English (en)
Inventor
Hisao Morooka
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
TDK Corp
Original Assignee
TDK Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP19118189A external-priority patent/JPH0356677A/ja
Priority claimed from JP1301139A external-priority patent/JP2963116B2/ja
Application filed by TDK Corp filed Critical TDK Corp
Publication of DE4023511A1 publication Critical patent/DE4023511A1/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)

Description

Die Erfindung betrifft ein Verfahren und eine Vorrichtung zur Durchführung von Vakuumbehandlungen, typischerweise von Plasmabehandlungen, wie beispielsweise Plasma-CVD-Behand­ lungen und Plasmaätzen.
Plasmabehandlungen nach dem sogenannten CVD-Verfahren (CVD = chemical vapor deposition) werden häufig zur Herstellung von dünnen Diamantfilmen und von dünnen Filmen aus amorphem Silizium angewendet. Für die Ausbildung feiner Muster zur Herstellung von Halbleiterelementen wird üblicherweise Plasmaätzen eingesetzt. Verschiedene Plasmaerzeugungsquellen werden sowohl für das Plasma-CVD-Verfahren wie auch für das Plasmaätzen eingesetzt. Typische Plasmaquellen sind Plasma­ erzeugungseinrichtungen unter der Verwendung von ECR-Ein­ richtungen (ECR = electron cyclotron resonance), wie sie beispielsweise in der japanischen Patentanmeldung Nr. 65 843/ 1989 (Kokai) offenbart sind, sowie Plasmaerzeugungseinrich­ tungen unter der Verwendung von Radiofrequenzinduktions­ heizungen. Unter anderem werden Plasmaerzeugungseinrichtun­ gen des ECR-Typs wegen ihrer hohen Elektronendichten bevor­ zugt sowie deshalb, weil sie höhere Durchsatzraten erlauben als andere Plasmaerzeugungseinrichtungen.
Die ECR-Plasmaerzeugungseinrichtung ist derart ausgelegt, daß Elektronen aufgrund von Resonanz beschleunigt werden mittels einer Interaktion zwischen einem elektrischen Feld und einem magnetischen Feld, wobei Stoßeinwirkung beschleu­ nigter Elektronen das Gas in ein Plasma überführt. Es ist auch bekannt, bestimmte Ionen aus dem Plasma zu extrahieren mittels einer Steuerelektrode, beispielsweise einer Ionen­ beschleunigungselektrode (s. japanische Patentanmeldung Nr. 1 03 099/1985 von Kokai).
Beim Plasma-CVD-Verfahren werden Ionen und Radikale aus einem Plasma auf einem Substrat niedergeschlagen, um auf diesem einen Film auszubilden. Es ist auch möglich, einen Film auszubilden durch Extrahieren von Elektronen aus einem Plasma, wobei die Elektronen in Stoßeinwirkung mit einem vorliegenden Gas (feed gas) gebracht werden, um das Gas in ein Plasma umzuwandeln, und wobei Ionen und Radikale in dem Plasma auf ein Substrat niedergeschlagen werden, wie bei­ spielsweise offenbart in der japanischen Patentanmeldung Nr. 65 843/1989 (Kokai).
Mit Hilfe der Plasmaätztechnik wird ein Gegenstand (ein Substrat oder ein Werkstück) geätzt, indem Ionen und Radi­ kale dazu veranlaßt werden, in einem Plasma gegen das Objekt zu stoßen.
Bei der Plasmaerzeugungseinrichtung unter Verwendung von ECR wird im allgemeinen ein Betriebsdruck von in etwa 10-4 Torr zugunsten der Ausbildung geeigneter freier Weglängen für Elektronen und Ionen in einem Plasma aufrechterhalten. Der Betriebsdruck dieser Größenordnung stellt eine gewisse Grenze dar für den Durchsatz beim Plasma-CVD-Verfahren und beim Plasmaätzen. Andere Plasmaerzeugungseinrichtungen, welche auf einer Radiofrequenzinduktionsheizung od.dgl. basieren, verwenden höhere Betriebsdrücke als bei dem ECR- Plasma, resultieren jedoch in einer ziemlich niedrigen Durchsatzgeschwindigkeit, weil die Elektronendichte und -temperatur geringer ist, so daß Ionen und Radikale mit einer geringeren Dichte erzeugt werden.
Für die Plasmabehandlung ist es erforderlich, daß aus­ schließlich ganz bestimmte Elektronen, Ionen und Radikale auf dem zu behandelnden Substrat vorhanden sind. So können beispielsweise im Falle des Plasma-CVD-Verfahrens unnötige Elektronen und Ionen eine Beschädigung des Substrats verur­ sachen und Defekte auf dem erzeugten Film hinterlassen. Darüber hinaus können auf der Filmoberfläche unerwünschte Reaktionen stattfinden, welche erwünschte Reaktionen von Radikalen oder Ionen verhindern, was eine Verminderung der Filmbildungsrate zur Folge hat. Schließlich können in der Plasmaerzeugungskammer erzeugte Teilchen (Spezies) unter­ schiedlich dissoziierte Ionen erzeugen, weil Elektronen eine breite Energieverteilung aufweisen. Versuche, derartige aktive Teilchen in der Behandlungskammer mit Hilfe einer Beschleunigungselektrode zugunsten einer gewünschten Film­ qualität zu extrahieren, gehen fehl, weil gleichzeitig mit dieser Extraktion reaktionsverhindernde Ionen extrahiert werden.
Die Aufgabe der vorliegenden Erfindung besteht deshalb darin, ein Verfahren zur Durchführung einer Vakuumbehandlung zu schaffen, insbesondere zur Plasmabehandlung eines Gegen­ stands mit erhöhter Durchsatzgeschwindigkeit, und zwar unter Vermeidung einer Beschädigung des Gegenstands sowie unter Ausschluß unerwünschter Reaktionen auf der Oberfläche des Gegenstands. Außerdem soll mit der Erfindung eine für dieses Verfahren besonders geeignete Vorrichtung zur Vakuumbehand­ lung geschaffen werden.
Das erfindungsgemäße Verfahren bezieht sich auf eine Vakuum­ behandlung mittels einer Vakuumbehandlungsvorrichtung, umfassend eine Erzeugungskammer, die eine Einrichtung zur Erzeugung einer hohen Dichte von Elektronen in derselben aufweist, eine Behandlungskammer, die in übertragungsver­ bindung steht mit der Erzeugungskammer über eine Elektronen­ beschleunigungselektrode, und ein Einlaßmittel zum Einleiten eines Reaktionsgases in die Behandlungskammer, wobei die Elektronenbeschleunigungselektrode dazu dient, einen Strom oder einen Fluß von Elektronen aus der Erzeugungskammer heraus in die Behandlungskammer zu ziehen bzw. zu über­ führen, und wobei die Erfindung im Kern darin besteht, daß ein hochdichter Bereich von Reaktionsgas im wesentlichen lotrecht zu dem Elektronenfluß in der Behandlungskammer ausgebildet wird.
Eine Vorrichtung zur Ausführung der Vakuumbehandlung umfaßt erfindungsgemäß eine Erzeugungskammer mit einer Einrichtung zur Erzeugung einer hohen Dichte von Elektronen in der­ selben, eine Behandlungskammer, die in übertragungsver­ bindung steht mit der Erzeugungskammer über eine Elektronen­ beschleunigungselektrode, ein Einlaßmittel zum Einleiten eines Reaktionsgases in die Behandlungskammer und ein Richtungsübertragungsmittel zwischen der Behandlungskammer und dem Einlaßmittel, um dem Reaktionsgas eine bestimmte Richtung, insbesondere eine bestimmte Strömungsrichtung aufzuprägen. Die Erzeugungskammer dient dazu, Elektronen mit einer hohen Dichte zu erzeugen, die Aktivierungskammer dient dazu, Reaktionsgasmoleküle in aktive Teilchen umzuwandeln, und die Behandlungskammer dient dazu, die aktiven Teilchen niederzuschlagen oder in Wechselwirkung zu bringen auf einem bzw. mit einem Gegenstand zwecks Niederschlagsbehandlung. Essentiell ist dabei, daß aktive Teilchen aus einem Reak­ tionsgas erzeugt werden entgegen dem herkömmlichen Ver­ fahren, bei welchem Plasmateilchen erzeugt werden.
Bei einer bevorzugten Ausführungsform ist das erfindungs­ gemäße Verfahren kombiniert mit der erfindungsgemäßen Vor­ richtung derart, daß die Plasmabehandlungsvorrichtung umfaßt eine Plasmaerzeugungskammer mit einer Einrichtung zur Er­ zeugung eines Plasmas in derselben, eine Behandlungskammer, welche an die Plasmaerzeugungskammer über eine Elektronen­ beschleunigungselektrode angeschlossen ist, ein Eingangs­ mittel zum Einleiten eines Reaktionsgases in die Behand­ lungskammer, wobei die Elektronenbeschleunigungselektrode dazu dient, einen Elektronenstrom aus dem Plasma in die Behandlungskammer zu überführen, und wobei in Verbindung mit dem erfindungsgemäßen Verfahren ein hochdichter Bereich an Reaktionsgas im wesentlichen lotrecht zu dem Elektronenstrom in der Behandlungskammer ausgebildet wird.
Gemäß einer weiteren Ausführungsform der Erfindung ist eine Vorrichtung zur Plasmabehandlung vorgesehen mit einer Plasmaerzeugungskammer, welche eine Einrichtung zur Erzeugung eines Plasmas in derselben aufweist, eine Behand­ lungskammer, welche an die Plasmaerzeugungskammer über eine Elektronenbeschleunigungselektrode angeschlossen ist, ein Einlaßmittel zum Einleiten eines Reaktionsgases in die Be­ handlungskammer und ein Richtungsübertragungsmittel zwischen der Behandlungskammer und dem Einlaßmittel, um dem Reak­ tionsgas eine bestimmte Richtung, insbesondere Strömungs­ richtung aufzuprägen.
Gemäß einer weiteren Ausführungsform der Erfindung umfaßt die Plasmabehandlungsvorrichtung eine Plasmaerzeugungskammer mit einer Einrichtung zur Erzeugung eines Plasmas in der­ selben, eine Elektronenbeschleunigungselektrode zum Heraus­ ziehen von Elektronenstrahlen aus dem Plasma, eine Kammer mit einem um deren Umfang angeordneten Magneten zum radialen Divergieren der Elektronenstrahlen, eine Kollimatorelektrode zum Kollimieren der divergenten Elektronenstrahlen in im wesentlichen parallele Elektronenstrahlen, eine Plasma­ reaktionskammer, eine Einlaßeinrichtung zum Einleiten eines Reaktionsgases in die Plasmareaktionskammer, wobei das Reak­ tionsgas aktiviert wird durch die kollimierten Elektronen­ strahlen in der Plasmareaktionskammer, und eine Plasmabe­ handlungskammer, welche in Übertragungsverbindung steht mit der Plasmareaktionskammer zur Durchführung der Plasmabehand­ lung auf einem Gegenstand mittels der Elektronenstrahlen, und ein Richtungsübertragungsmittel zwischen dem Einlaß­ mittel und der der Plasmareaktionskammer, um dem Reaktions­ gas eine bestimmte Richtung aufzuprägen.
Gemäß noch einer anderen Ausführungsform der Erfindung ist eine Plasmabehandlungsvorrichtung vorgesehen mit einer Plasmaerzeugungskammer, welche eine Einrichtung zur Er­ zeugung eines Plasmas in derselben umfaßt, einer Elektronen­ beschleunigungselektrode zum Herausziehen von Elektronen­ strahlen aus dem Plasma, einer Plasmareaktionskammer, einem Einlaßmittel zum Einleiten eines Reaktionsgases in die Plasmareaktionskammer, wobei Reaktionsgas aktiviert wird durch die Elektronenstrahlen in der Plasmareaktionskammer, einer Ionenbeschleunigungselektrode zum Herausziehen von Ionenstrahlen aus dem Plasma der Reaktionskammer, einer Kammer mit einem um deren Umfang angeordneten Magneten zum radialen Divergieren der Ionenstrahlen, einer Kollimator­ elektrode zum Kollimieren der divergenten Ionenstrahlen in im wesentlichen parallele Ionenstrahlen, einer Plasmabehand­ lungskammer zur Durchführung der Plasmabehandlung auf einem Gegenstand mittels der kollimierten Ionenstrahlen sowie Richtungsübertragungsmitteln zwischen dem Einlaßmittel und der Plasmareaktionskammer, um dem Reaktionsgas eine be­ stimmte Strömungsrichtung aufzuprägen.
Gemäß vorteilhaften Weiterbildungen besteht die Plasma­ erzeugungseinrichtung aus einem Elektronenzyklotronreso­ nanzmittel. Weiterhin kann vorteilhafterweise eine Ionen­ beschleunigungselektrode und/oder eine Ionenblockierelek­ trode in der Behandlungskammer vorgesehen sein. Schließlich kann in der Wandung der Behandlungskammer in Gegenüberlage zu dem Richtungsübertragungsmittel ein Hochvakuumgasabsaug­ anschluß angeordnet sein.
Das erfindungsgemäße Verfahren und die erfindungsgemäße Vorrichtung weisen die nachfolgenden Vorteile auf.
Ein hochdichter Bereich an Reaktionsgas wird im wesentlichen lotrecht zu einem Elektronenstrom ausgebildet, der in der Behandlungskammer der Vorrichtung mittels der Elektronen­ beschleunigungselektrode sich fortpflanzt, wobei der Elek­ tronenstrom bzw. -fluß mit dem hochdichten Bereich kolli­ diert. Im Ergebnis wird eine erhöhte Menge an Plasma erzeugt und die Durchsatzgeschwindigkeit wird erhöht. Der hochdichte Bereich an Reaktionsgas wird durch Einführen des Reaktions­ gases in die Behandlungskammer über das Richtungsüber­ tragungsmittel ausgebildet. Die Durchsatzgeschwindigkeit ist zwei-, drei- oder mehrfach höher durch die Ausbildung der hochdichten Reaktionsgaszone im Vergleich zu einer Anordnung mit Abwesenheit dieser Zone.
Bei der Ausführungsform, bei welcher eine Ionenbeschleuni­ gungselektrode und/oder eine Ionenblockierelektrode in der Behandlungskammer vorgesehen sind, können Elektronen oder Ionen aus der Plasmaerzeugungskammer selektiv extrahiert und dem zu behandelnden Gegenstand zugeführt werden, woraus eine erhöhte Durchsatzgeschwindigkeit resultiert sowie ein Film hoher Qualität, während eine Beschädigung des Gegenstands und unerwünschte Reaktionen auf der Gegenstandsoberfläche verhindert werden.
Bei dem Ausführungsbeispiel, bei welchem ein Hochvakuumgas­ absauganschluß an der Wandung der Behandlungskammer in Gegenüberlage zu dem Richtungsübertragungsmittel angeordnet ist, wird das in die Behandlungskammer über das Richtungs­ übertragungsmittel eingeleitete Reaktionsgas daran gehin­ dert, an den Kammerwandungen zurückgestreut zu werden, wodurch Strömungstörungen des Reaktionsgases verhindert werden. Der hochdichte Bereich wird in stabiler Weise auf­ rechterhalten.
Bei dem Ausführungsbeispiel der Erfindung, bei welchem die der Plasmaerzeugungskammer entspringenden Elektronenstrahlen unter dem Einfluß eines magnetischen Feldes aufgeweitet werden bzw. divergiert werden, bis der Elektronenstrahlfluß eine größere Querschnittsfläche als die Plasmaerzeugungs­ kammer aufweist, ist eine Plasmabehandlung über einen größeren (Gegenstands-) Oberflächenbereich möglich. Die radial divergierten Elektronenstrahlen werden in im wesent­ lichen parallele Strahlen kollimiert, bevor sie in die Plasmareaktionskammer eintreten, wo das Reaktionsgas mittels der Elektronenstrahlen aktiviert wird. Da ein hochdichter Bereich an Reaktionsgas ausgebildet wird im wesentlichen lotrecht zu den Elektronenstrahlen in der Plasmareaktions­ kammer, ist die Dichte an aktiviertem Reaktionsgas hoch genug, um eine erhöhte Durchsatzgeschwindigkeit zu erlauben. Wenn eine Ionenbeschleunigungselektrode und/oder eine Ionen­ blockierelektrode zusätzlich an der Zwischenfläche zwischen der Plasmareaktionskammer und der Plasmabehandlungskammer vorgesehen ist bzw. vorgesehen sind, können Elektronen oder Ionen selektiv aus der Plasmakammer extrahiert und an den Gegenstand in der Plasmabehandlungskammer überführt werden, was ebenfalls einer Erhöhung der Durchsatzgeschwindigkeit zugute kommt sowie der Filmqualität, während gleichzeitig eine Beschädigung des Gegenstands und eine unerwünschte Reaktion auf der Gegenstandsoberfläche verhindert werden.
Schließlich ist es bei einem weiteren Ausführungsbeispiel der Erfindung vorgesehen, das Reaktionsgas in dem hoch­ dichten Bereich mittels der Elektronenstrahlen zu akti­ vieren, welche von der Plasmaerzeugungskammer ausgehen sowie durch Elektronenstrahlen, die extrahiert werden von der aktivierten Reaktionsgaswolke. Die Ionenstrahlen werden radial aufgefächert unter dem Einfluß eines magnetischen Feldes und daraufhin kollimiert in im wesentlichen parallele Strahlen, bevor diese die Plasmabehandlungskammer erreichen und in diese eintreten, in welcher der zu behandelnde Gegen­ stand mittels der kollimierten Ionenstrahlen behandelt wird.
Nachfolgend wird die Erfindung anhand der Zeichnungen näher erläutert; in diesen zeigen:
Fig. 1 eine schematische Darstellung einer ersten Aus­ führungsform der erfindungsgemäßen Plasma­ behandlungsvorrichtung,
Fig. 2a, 2b und 2c Konturen eines strahlenförmigen Mole­ kularflusses, der aus einem Durchbruch in einer Platte austritt und als Richtungsübertragungs­ mittel in der Vorrichtung verwendet wird,
Fig. 3 und 5 schematische Ansichten einer zweiten Aus­ führungsform der erfindungsgemäßen Plasmabehand­ lungsvorrichtung, wobei die in Fig. 5 gezeigte Vorrichtung eine Modifikation der Vorrichtung von Fig. 3 darstellt, und
Fig. 4 eine schematische Darstellung einer dritten Ausführungsform der erfindungsgemäßen Plasma­ behandlungsvorrichtung.
Zunächst soll die in Fig. 1 gezeigte Ausführungsform der Plasmabehandlungsvorrichtung näher beschrieben werden. Die allgemein mit 1 bezeichnete Plasmabehandlungsvorrichtung umfaßt eine Plasmaerzeugungskammer 2 mit Mitteln zur Er­ zeugung eines Plasmas und eine Behandlungskammer 4, die über eine Elektronenbeschleunigungselektrode 3 in übertragungs­ verbindung mit der Plasmaerzeugungskammer 2 steht. Häufig sind beide Kammern, nämlich die Plasmaerzeugungskammer 2 und die Plasmabehandlungskammer, nebeneinander angeordnet bzw. -gestellt und in einem gemeinsamen Gehäuse ausgebildet, welches die Gestalt eines länglichen Zylinders oder eine rechteckige Form aufweist. In der Fig. 1 ist mit Längsrich­ tung bzw. mit axialer Richtung des Gehäuses dessen Er­ streckung von links nach rechts gemeint.
Ein an einen (nicht dargestellten) Mikrowellenerzeuger an­ geschlossener Wellenleiter 21 ist mittels eines Mikrowellen­ eingangsfensters 22 an die Plasmaerzeugungskammer 2 ange­ schlossen. Ein Magnet 23 ist um die Plasmaerzeugungskammer 2 herum angeordnet. Diese Elemente bilden das Plasmaerzeu­ gungsmittel des ECR-Typs (ECR = electron cyclotron resonance). Der Magnet 23 kann ein Permanentmagnet oder ein sonstiges Magnetfeld erzeugendes Mittel sein, soweit dieses Mittel dazu in der Lage ist, ein ausreichendes Magnetfeld zu erzeugen, um die ECR-Bedingungen zu erfüllen.
Die Behandlungskammer 4 umfaßt Plasmareaktions- und Behand­ lungsabschnitte 41 und 42, die über eine Elektronenbeschleu­ nigungselektrode 8 sowie eine Ionenblockierelektrode 9 in wechselseitiger Übertragungsverbindung miteinander stehen. Der Plasmareaktionsabschnitt 41 ist mit einer Eingangsein­ richtung in Gestalt einer (Rohr-)Leitung 5 versehen, über welche ein Reaktionsgas eingeleitet wird sowie mit einem Auslaßanschluß 7, welcher an einen Hochvakuumerzeuger ange­ schlossen ist. Die Plasmabehandlungssektion 42 ist mit einem Auslaßanschluß 10 versehen, welcher an einen Niedervakuum­ erzeuger angeschlossen ist. Ein Halter 100 zum Haltern eines Gegenstands 101 an demselben ist in der Plasmabehandlungs­ sektion 42 angeordnet.
Zur Durchführung einer Plasmabehandlung in der Vorrichtung 1 wird das Gas in der Vorrichtung mittels einer (nicht darge­ stellten) Ölrotationspumpe über den Niedrigvakuumausgangs­ anschluß 10 abgezogen, bis in der Vorrichtung ein Nieder­ vakuum eingestellt ist. Für die weitere Evakuierung dient eine (nicht dargestellte) Pumpe vom Molekularpumpentyp, mittels welcher über den Hochvakuumausgangsanschluß 7 ein Vakuum angelegt wird, bis in der Vorrichtung ein Hochvakuum von etwa 10-6 bis 10-7 Torr geschaffen worden ist.
Daraufhin wird in die Behandlungskammer 4 über die Eingangs­ leitung 5 ein Reaktionsgas eingeleitet. Das Reaktionsgas fließt mittels Diffusion in die Plasmaerzeugungskammer 2.
Mikrowellenenergie bzw. eine Mikrowelle wird in die Plasma­ erzeugungskammer 2 über das Einlaßfenster 22 eingespeist, während der Magnet 23 ein Magnetfeld erzeugt, das hinreicht, um in der Plasmaerzeugungskammer 2 ECR-Bedingungen zu schaffen. Das elektrische Mikrowellenfeld wirkt mit dem magnetischen Feld zusammen, um Elektronen in der Plasma­ erzeugungskammer 2 zu beschleunigen, welche zur Erzeugung eines Plasmas in Kollision gelangen mit Reaktionsgasmole­ külen.
Die Elektronenbeschleunigungselektrode 3 dient dazu, Elek­ tronen selektiv aus dem Plasma herauszuziehen, das in der Kammer 2 erzeugt ist, sowie dazu, diese zu beschleunigen. Die Elektronenbeschleunigungselektrode 3 umfaßt ein Paar einander gegenüberstehender Elektrodenplatten, wobei die auf der Seite der Behandlungskammer 4 gelegene Elektrodenplatte ein höheres Potential aufweist als die andere Elektroden­ platte, welche an der Seite der Plasmaerzeugungskammer 2 gelegen ist. Jede der Elektrodenplatten kann von einer her­ kömmlichen Elektrodenplatte gebildet sein, wie beispiels­ weise einer Metallnetzplatte.
Die Spannungsversorgung für die Elektronenbeschleunigungs­ elektrode 3 ist vorzugsweise eine einstellbare Spannungs­ quelle, die es erlaubt, die Energie der selektiv abgetrenn­ ten Elektroden so zu steuern, daß ein Plasma gewünschter Art in die Behandlungskammer 4 induziert bzw. eingeleitet wird. Dabei ist es nicht unbedingt notwendig, daß das Reaktionsgas in der Behandlungskammer 4 sich in einem Plasmazustand be­ findet, zumindest dann nicht, wenn aktive Spezien (species) erzeugt werden.
Die mittels der Elektronenbeschleunigungselektrode 3 heraus­ gezogenen bzw. abgetrennten Elektronen treten in die Behand­ lungskammer 4 als ein Fluß von Elektronen ein, welche eine (bevorzugte) Richtung bzw. Bewegungsrichtung aufweisen.
In der Behandlungskammer 4 ist ein hochdichter Bereich von Reaktionsgas ausgebildet, der sich im wesentlichen lotrecht zu dem gerichteten Elektronenfluß erstreckt. Dabei kommt es an sich weniger darauf an, wie dieser hochdichte Bereich im einzelnen erzeugt wird. Vorzugsweise ist jedoch ein Mittel 6 vorgesehen, mit welchem dem Reaktionsgas eine (Bewegungs-) Richtung verliehen wird, die lotrecht verläuft zu dem ge­ richteten Elektronenfluß, welches Mittel zwischen der Be­ handlungskammer und der Eingangsleitung 5 angeordnet ist.
Das Reaktionsgas ist auf einen Bereich begrenzt, welcher eine lotrecht zu der Längsrichtung (der Vorrichtung) ver­ laufende Ebene aufspannt. Auf diese Weise kann ein hoch­ dichter Bereich von Reaktionsgas problemlos eingestellt werden.
Das Übertragungsmittel 6 kann eine Platte mit einer Mehrzahl von Bohrungen bzw. Durchbrüchen umfassen. Um dem Reaktions­ gas die gewünschte Bewegungsrichtung zu verleihen, sind die Bohrungen in der dünnen Platte im wesentlichen lotrecht zu dem gerichteten Elektronenfluß ausgebildet, wie er folgend auf die Elektronenbeschleunigungselektrode 3 ausgebildet ist. Das Übertragungsmittel 6 dient dazu, dem Reaktionsgas bei dessen Durchtritt von der Eingangsleitung 5 in die Hoch­ vakuumkammer 4 über die Bohrungen in der dünnen Platte die gewünschte Bewegungsrichtung zu verleihen. Auf diese Weise ist in der Vakuumkammer 4 ein hochdichter Bereich (von Reak­ tionsgasmolekülen) geschaffen.
Die Gasmoleküle, welche die Bohrungen in der dünnen Platte passiert haben, bilden einen strahlenförmigen Molekülfluß in axialer Richtung der Bohrungen. Die Konfiguration des strah­ lenförmigen Molekülflusses hängt ab von dem Verhältnis der Länge zu dem Radius jeder Bohrung bzw. jedes Loches, d.h., es kommt an auf das Verhältnis l/r, wobei l die Länge und r den Radius der Löcher bezeichnet.
In den Fig. 2a, 2b und 2c sind Konturen gleicher Molekül­ gaskonzentration dargestellt, von Molekülen, welche durch eine Bohrung hindurchtreten, wobei das Verhältnis l/r 1,4 bzw. 40 beträgt. Diese Konturen stellen die Kontur des strahlenförmigen Molekülflusses dar. In den genannten Figuren stellen die linken und rechten Seiten der Löcher die Niedrigvakuum- und Hochvakuumseiten dar, und die Gasmoleküle fließen bzw. bewegen sich in der Richtung des in den Figuren dargestellten Pfeils.
Vorzugsweise beträgt das Verhältnis von Lochlänge zu Loch­ radius l/r 1 bis 500, wobei besonders bevorzugt sind Werte von 5 bis 400. Werte außerhalb des genannten Bereichs sind weniger geeignet, um dem Reaktionsgas die notwendige Rich­ tung zu verleihen, wobei insbesondere größere Werte für das Verhältnis l/r den Reaktionsgasfluß drosseln würden, was auf Kosten einer hohen Konzentration des Reaktionsgasstroms in der Behandlungskammer 4 gehen würde.
Der Durchmesser (2r) der Bohrungen beträgt vorzugsweise 0,01 bis 5000 µm, wobei besonders bevorzugt sind Werte von 0,03 bis 500 µm. Außerhalb des genannten Bereichs würden kleinere Durchmesser dazu führen, daß der Reaktionsgasfluß gedrosselt wird, wiederum mit der Folge, daß sich in der Behandlungskammer 4 nicht die gewünschte hohe Reaktionsgas­ konzentration einstellt. Größere Durchmesser hingegen, also Durchmesser, die außerhalb des genannten Bereichs liegen, würden dazu führen, daß die Reaktionsgasmoleküle miteinander in Wechselwirkung geraten, mit der Folge einer Streuung des Reaktionsgasflusses, was ebenfalls dazu führen würde, daß sich der in den Fig. 2a bis 2c dargestellte Reaktionsgas­ fluß nicht einstellt.
Die Population bzw. die Anzahl der über die Platte verteil­ ten Bohrungen bzw. Löcher, die mit dem Durchmesser variiert, ist vorzugsweise so hoch wie möglich, wo beispielsweise pro Quadratzentimeter mindestens 102 Löcher vorgesehen sind. Eine möglichst hohe Population der Löcher kommt der Güte des hochdichten Bereichs zugute.
Die Breite bzw. der Bereich des Abschnitts auf der dünnen Platte, auf welcher bzw. auf welchem die Löcher ausgebildet sind (die Länge in der Elektronenflußrichtung) ist grund­ sätzlich nicht beschränkt, obwohl eine Breite von mindestens 3 mm, vorzugsweise mindestens 5 mm, bevorzugt ist. Grund­ sätzlich gibt es auch keine obere Grenze für diese Breite, obwohl eine maximale Breite von 50 mm, vorzugsweise 20 mm, wünschenswert ist, um die erzeugten aktiven Spezien oder Teilchen steuern zu können.
Um zugunsten der Durchsatzgeschwindigkeit die Quantität des erzeugten Plasmas zu erhöhen, sind die Löcher vorzugsweise über im wesentlichen die gesamte Platte verteilt, und zwar in einer Querrichtung der Kammer (lotrecht zu dem Elektro­ nenfluß bzw. in Blickrichtung des Betrachters von Fig. 1).
Da die Dicke der dünnen Platte bzw. deren Stärke der Länge der Bohrungen entspricht, mit anderen Worten die Platte also nicht allzu massiv ist, ist diese vorzugsweise auf einen maschen- oder gitterartigen Träger aufgebracht.
Das Material, aus welchem die dünne Platte besteht, unter­ liegt grundsätzlich keinen Beschränkungen und kann ausge­ wählt sein aus unterschiedlichen Harzen, wie beispielsweise Polycarbonaten und Polyestern, aus verschiedenen Glassorten und Metallen. Die Löcher können in einer dünnen Harzplatte mittels chemischer Ätztechniken ausgebildet sein, nachdem die Platten energetischen Teilchen, wie beispielsweise Neutronen, ausgesetzt worden sind. Falls die dünne Platte aus Metall besteht, kommen zur Locherzeugung Ätztechniken infrage oder andere entsprechend geeignete Techniken. Im Fall von Glas kann beispielsweise eine Anordnung von Glas­ röhren verwendet werden. Alternativ hierzu können auch kommerziell erhältliche Membranfilter als Richtungsüber­ tragungsmittel verwendet werden. Bevorzugte Membranfilter sind Nuklearpore-Membranfilter der Nukleapore Corporation.
Im Bedarfsfall kann eine weitere Ionenblockierelektrode zwischen dem Richtungsübertragungsmittel und der Behand­ lungskammer angeordnet sein, um den Eintritt von Ionen in das Richtungsübertragungsmittel zu verhindern.
Die von den als Richtungsübertragungsmittel verwendeten Löchern injizierten Molekülstrahlen bilden als Gesamtheit betrachtet einen vorhangartigen Molekülfluß, welcher den vorstehend beschriebenen hochdichten Bereich bildet.
Der Druck des hochdichten Bereichs sollte vorzugsweise in etwa 10-3 bis 1 Torr betragen, um die Behandlungsrate in gewünschter Höhe zu erreichen, und um einen geeigneten Druck in dem System aufrechtzuerhalten, der sich von demjenigen in dem hochdichten Bereich unterscheidet. Obwohl es schwierig ist, den Druck in dem hochdichten Bereich aktuell zu messen, kann davon ausgegangen werden, daß ein Druck der vorstehend genannten Höhe in dem Bereich herrscht. Diese Annahme basiert auf der mit der vorstehend beschriebenen Vorrichtung erreichbaren hohen Durchsatzrate.
Der Druck in der Plasmaerzeugungskammer 2 und in der Behand­ lungskammer 4 außerhalb des hochdichten Bereichs beträgt vorzugsweise 10-5 bis 10-3 Torr, insbesondere 10-5 bis 10-4 Torr. Bei niedrigeren Drücken ist es kaum möglich, eine hohe Elektronendichte zu erreichen, wobei bei höheren Drücken Stoßkollisionen von Gasmolekülen in dem hochdichten Bereich mit verbleibenden Gasmolekülen (Molekülen außerhalb des Bereichs) unterhalb der Schwelle liegen, welche für die Ausbildung hinreichender Ionenströme erforderlich ist.
Der Differentialdruck zwischen dem Reaktionsgaseinlaßrohr 5 und der Behandlungskammer 4 und die Durchflußrate des Reak­ tionsgases durch das Richtungsübertragungsmittel 6, welche beide notwendig sind, um den vorstehend genannten Druck in der hochdichten Reaktionsgaszone zu erzeugen, können empi­ risch ermittelt werden. Die Zufuhr von Reaktionsgas zu dem Einlaßrohr 5 ist vorzugsweise mittels eines (nicht darge­ stellten) Massenflußreglers reguliert, und die beiden oder mehreren Gase werden vorzugsweise kontrolliert vermischt, um ein Reaktionsgasgemisch zu bilden, und zwar unter Verwendung von zwei oder mehreren Massenflußreglern.
Die Ionenzieh- und Blockierelektroden 8 und 9 umfassen drei parallel verlaufende Elektrodenplatten, wobei die zentrale Platte von den Elektroden geteilt wird. Die Ionenbeschleuni­ gungselektrode 8 wird betrieben durch Anlegung von Spannung über dieselbe so, daß die Elektrodenplatte auf der Seite der Plasmareaktionssektion 41 mit Bezug auf die zentrale Elek­ trodenplatte ein positives Potential aufweist. Auf diese Weise werden die Ionen des Behandlungsgases in dem Plasma über die Elektrode beschleunigt, während Elektronen abge­ bremst werden.
Die Ionenblockierelektrode 9 wird betrieben durch Anlegung einer Spannung über dieselbe derart, daß die Elektroden­ platte auf der Seite der Plasmabehandlungssektion 42 sich auf einem positiven Potential mit Bezug auf die zentrale Elektrodenplatte befindet. Auf diese Weise werden Ionen des Behandlungsgases in dem Plasma über die Elektrode abge­ bremst, während Elektronen beschleunigt werden.
Wenn beide Elektroden, nämlich die Ionenzieh- und Blockier­ elektroden 8 und 9 zur selben Zeit betrieben werden, werden sowohl Elektronen wie Ionen abgebremst, und davon abge­ halten, über die Elektroden hinaus auf den Gegenstand 101 zuzuwandern. Aus diesem Grunde wandern insbesondere nur neutrale Teilchen in dem Plasma im Anschluß an die Elek­ troden. Falls es gewünscht wird, daß ausschließlich neutrale Teilchen in die Plasmabehandlungssektion 42 gelangen, ist diese Sektion 42 mit einem Auslaßanschluß 11 zur Durchfluß­ regulierung zu versehen. Durch Abziehen des Gases durch den Auslaßanschluß 11 kann zur Erhöhung der Durchflußrate in die Kammer zwangsweise ein (Gas-)Fluß induziert bzw. eingeführt werden. Der Auslaßanschluß 11 ist an eine Hochvakuumpumpe angeschlossen, beispielsweise an eine Turbomolekularpumpe, wie sie an den Hochvakuum-Gasabzugsanschluß 7 angeschlossen ist.
Welche der Elektroden letztendlich installiert und betrieben wird, hängt von der gewünschten, speziellen Plasmabehandlung ab.
Vorzugsweise wird an die genannten Elektroden eine einstell­ bare Spannungsversorgung angeschlossen. Durch ein Einstellen der angelegten Spannung kann die Energie abgezogener Ionen gesteuert werden. Moderate Beschleunigungsbedingungen bei Spannung unterhalb von 200 V erlauben die Ausbildung dünner bzw. empfindlicher Filme, weil auf diese Weise die Gefahr einer Beschädigung des Films durch energetische Ionen herab­ gesetzt ist.
Das Richtungsübertragungsmittel 6 ist vorzugsweise im Be­ reich der Ionenzieh- und Blockierelektroden 8 und 9 ange­ ordnet, weil Elektronen und Ionen von dem Reaktionsgasplasma in effizienter Weise über Elektroden gelangen können, woraus eine erhöhte Durchsatzrate resultiert.
Der Hochvakuumgasabzugsanschluß 7 dient dazu, in der Vor­ richtung während der Plasmabehandlung einen vorbestimmten Druck aufrecht zu erhalten. In dem dargestellten Ausfüh­ rungsbeispiel ist der Hochvakuumgasabzugsanschluß 7 an der Wand der Behandlungskammer 4 angeordnet, welche dem Rich­ tungsübertragungsmittel 6 gegenüberliegt. Die Anordnung des Gasabzugsanschlusses 7 in dieser Stellung ist von Vorteil, weil verhindert wird, daß solche Gasmoleküle des zugeführten Reaktionsgases von dem Richtungsübertragungsmittel 6 fern­ gehalten werden, die von der Kammerwandung zurückgestreut und nicht aktiviert oder erregt worden sind, wodurch er­ reicht wird, daß der Anteil der untererregten Gasmoleküle, welche den Gegenstand 101 erreichen, vermindert ist. Dadurch können im Falle eines Plasma-CVD-Verfahrens alle Reaktionen verhindert werden, die hinderlich sind für die verfahrens­ gemäße synthetische Reaktion, wodurch die Ausbildung hoch­ qualitativer Filme sichergestellt wird. Aus demselben Grunde ist im Falle des Plasmaätzens ein anisotropes Ätzen mit gerichteten aktiven Teilchen mit hoher Rate möglich.
Der Halter 100 ist an Heizmittel 102 und eine Grundspan­ nungsversorgung 103 außerhalb des Gehäuses angeschlossen. Das Heizmittel 102 ist vorgesehen, weil es häufig notwendig ist, den Gegenstand 101 während der Plasma-CVD-Behandlung zu beheizen. Mit Hilfe der Grundspannungsversorgung 103 wird eine Grundspannung (bias voltage) an den Gegenstand 101 angelegt. Je nach Anwendungsfall kann diese Grundspannung eine Gleich- oder Wechselspannung sein.
Der Halter 100 ist in dem Gehäuse für eine Vor- und Rück­ stellbewegung vorgesehen, um den Gegenstand 101 innerhalb der mittleren freien Weglänge der Moleküle in Abhängigkeit von den jeweiligen Behandlungsbedingungen positionieren zu können.
Die Behandlungskammer 4 kann jede gewünschte Gestalt auf­ weisen, vorzugsweise ist sie als länglicher Zylinder aus­ gebildet oder weist eine rechteckige Form auf. Die Abmessun­ gen der Behandlungskammer 4 sind nicht kritisch. Typischer­ weise beträgt der Abstand zwischen der Elektronenbeschleuni­ gungselektrode 3 und der Ionenbeschleunigungselektrode 8 in etwa 30 bis 200 mm, und der Abstand zwischen der Ionen­ blockierelektrode 9 und dem Gegenstand 101 in etwa 100 bis 500 mm.
Obwohl die Plasmaerzeugungs- und Behandlungskammern 2 und 4 in dem in Fig. 1 dargestellten Ausführungsbeispiel denselben Innendurchmesser aufweisen, kann der Innendurchmesser der Behandlungskammer 4 größer gewählt werden als derjenige der Plasmabehandlungskammer 2. In dem modifizierten Ausführungs­ beispiel kann ein Elektronenfluß größeren Querschnitts ge­ bildet werden, indem die Elektronenbeschleunigungselek­ trode 3 von der in Fig. 1 gezeigten Stellung nach rechts geschoben wird. Auf diese Weise eignet sich die vorstehend beschriebene Vorrichtung für Gegenstände mit einem größeren Oberflächenbereich. Weiterhin kann an der Peripherie der Behandlungskammer 4 ein Magnet vorgesehen sein zwischen der Plasmabehandlungskammer und der Elektronenbeschleunigungs­ elektrode, um die Elektronen zur Kammerwandung hin zu lenken. Diese Ausführungsformen werden nachfolgend in Zusammenhang mit den Fig. 3 bis 5 näher beschrieben.
Der Typ des Plasmaerzeugungsmittels ist nicht kritisch. Im Bedarfsfall kann die vorstehend genannte Plasmabehandlungs­ einrichtung vom ECR-Typ ersetzt werden durch jede andere geeignete Plasmabehandlungseinrichtung, nämlich beispiels­ weise durch eine Einrichtung, mit welcher Mikrowellen parallel zu einem magnetischen Feld geleitet werden, um eine Elektronendichte zu ermöglichen. Unter anderem sind Plasma­ erzeugungsmittel vom ECR-Typ sowie von dem Mikrowellen- Parallelführungstyp aufgrund der jeweils erreichbaren hohen Elektronendichte von Vorteil.
Fig. 3 zeigt ein weiteres Ausführungsbeispiel einer Plasma­ behandlungsvorrichtung, wobei eine Modifikation dieses Aus­ führungsbeispiels in Fig. 5 dargestellt ist.
Die Plasmabehandlungsvorrichtung ist allgemein mit 1 be­ zeichnet und umfaßt eine Plasmaerzeugungskammer 2, eine Elektronenbeschleunigungselektrode 3 zum Extrahieren bzw. Herausziehen von Elektronenstrahlen aus der Kammer 2, eine Streukammer 32 zum radialen Auseinanderziehen der Elek­ tronenstrahlen, eine Kollimatorelektrode 31 zum Kollimieren mit Elektronenstrahlen, eine Plasmareaktionskammer 41 und eine Plasmabehandlungskammer 42, wobei die beiden Kammern in Reihe angeordnet sind. Eine Einlaßleitung 5 ist an die Plasmareaktionskammer 41 zwecks Einleitung eines Reaktions­ gases in dieselbe angeschlossen. Ein Magnet 33 ist über dem Umfang der Trennkammer zum radialen Auseinanderführen der Elektronenstrahlen angeordnet. Da mehrere der Bauteile in etwa denselben Aufbau aufweisen und dieselbe Funktion haben wie die entsprechenden Bauteile der Vorrichtung gemäß Fig. 1, wird bezüglich dieser Bauteile auf die vorstehende Be­ schreibung des ersten Ausführungsbeispiels der Plasmabehand­ lungsvorrichtung verwiesen.
Zum Durchführen einer Plasmabehandlung mittels der Vor­ richtung 1 gemäß Fig. 3 wird nach dem Aufbau eines Hoch­ vakuums entsprechend dem anhand von Fig. 1 beschriebenen Vakuumaufbau ein Reaktionsgas in die Plasmareaktionskammer 41 über die Einlaßleitung 5 eingespeist. Das Reaktionsgas strömt mittels Diffusion in die Plasmaerzeugungskammer 2 ein.
Eine Mikrowelle wird in die Plasmaerzeugungskammer 2 über das Eingangsfenster 22 eingeleitet, während der Magnet 23 ein Magnetfeld erzeugt, das hinreicht, um in der Plasma­ erzeugungskammer 2 ECR-Bedingungen zu schaffen. Das elek­ trische Mikrowellenfeld wirkt mit dem Magnetfeld zusammen, um in der Plasmaerzeugungskammer 2 Elektronen zu beschleu­ nigen, um zugunsten eines Plasmas die Elektronen mit Reak­ tionsgasmolekülen zur Kollision zu bringen.
Die Elektronenbeschleunigungselektrode 3 dient dazu, Elek­ tronen selektiv aus dem in der Kammer 2 erzeugten Plasma herauszuziehen. Die derart herausgezogenen oder gewonnenen Elektronenstrahlen sind in etwa parallele Elektronen­ strahlen, die sich zur rechten Seite der Figur hin fort­ pflanzen, und der Elektronenstrahlfluß als Ganzes weist ungefähr dieselbe Querschnittsfläche auf wie die Plasma­ erzeugungskamnmer 2.
Das durch den Magneten 33 erzeugte Magnetfeld dient dazu, die Elektronenstrahlen radial auseinanderzuführen, um dadurch die Querschnittsfläche des Strahlenflusses zu erweitern bzw. zu vergrößern. Der Magnet 33 erzeugt in der Trennkammer 32 ein Magnetfeld, dessen Intensität zu der Kollimatorelektrode 31 hin allmählich abnimmt. Das magne­ tische Feld übt auf die Elektronenstrahlung in einer Richtung zur Wandung der Kammer hin derart eine Kraft aus, daß der Durchmesser des Elektronenstrahlflusses zunimmt. Der Ausdruck "radial" wird mit Bezug auf eine Längsachse des die Kammer festlegenden Gehäuses verwendet. Der Magnet 33 kann ein beliebiger Magnet sein, der geeignet ist, eine Kraft auf die Elektronenstrahlen auszuüben. Vorzugsweise wird ein ringförmiger Magnet derart angeordnet, daß sein N-Pol auf der Seite der Elektronenbeschleunigungselektrode 3 und sein S-Pol an der Seite der Kollimatorelektrode 31, wie in Fig. 3 gezeigt, liegt. Vorzugsweise ist weiterhin ein Zusatzmagnet (nicht gezeigt) gegenüber der N-Polseite des ringförmigen Magnets 33 angeordnet. Der Zusatzmagnet ist ebenfalls als ringförmiger Magnet ausgeführt, dessen Innendurchmesser kleiner ist als derjenige des Ringmagnets 33 und N- und S-Pole aufweist, die entlang des inneren und äußeren Umfangs desselben angeordnet sind. Der Zusatzmagnet wirkt zusammen mit dem primären Magnet 33, um ein Magnetfeld zu erzeugen, dessen Intensität mit Richtung auf die Kollimatorelektrode 31 über einen weiteren Bereich in der Trennkammer 32 allmäh­ lich abnimmt, wodurch eine radiale Divergenz der Elektronen­ strahlen unterstützt wird. Anstelle des axial polarisierten Magnets 33 kann ein Ringmagnet mit N- und S-Polen zur An­ wendung gelangen, die entlang seines Innen- und Außenum­ fangs, wie in Fig. 5 gezeigt, angeordnet sind.
Die Konstruktion des Magneten 33 ist nicht, insbesondere nicht auf die vorstehenden Ausführungsbeispiele, beschränkt, solange er dazu geeignet ist, ein effektives Magnetfeld in der Trennkammer 32 zu erzeugen. Eine Mehrzahl konzentrisch angeordneter Magnete oder eine Anordnung von Magnetsegmenten kann (ebenfalls) benutzt werden. Bevorzugt ist ein Perma­ nentmagnet einfacher Konfiguration.
Die Elektronenbeschleunigungselektrode 3 dient zur selek­ tiven Extrahierung von Elektronen aus dem Plasma, das in der Kammer 2 erzeugt ist, und die Kollimatorelektrode 21 dient zur Beschleunigung der Elektronenstrahlen, die mittels des Magneten 33 dispergiert worden sind. Die Elektronenstrahlen, welche die Kollimatorelektrode 31 passiert haben, weisen eine erhöhte Geschwindigkeitskomponente in der Längsrichtung auf und verlaufen im wesentlich parallel. Jede der Elektro­ nenbeschleunigungs- und Kollimatorelektroden 3 und 31 schließen ein Paar einander gegenüberliegender Elektroden­ platten ein, wobei die Elektrodenplatte auf der Seite der Plasmareaktionskammer 41 mit Bezug auf die Elektrodenplatte auf der Seite der Plasmaerzeugungskammer 2 ein höheres Potential aufweist. Jede dieser und anderer Elektrodenplatten kann als herkömmliche Elektrodenplatte beispielsweise in Gestalt einer Gitter- oder Netzmetallplatte ausgebildet sein.
Die prozentuale radiale Divergenz des Elektronenstrahl­ flusses ist vorzugsweise 150% oder mehr, obwohl sie abhängt von der an die Elektronenbeschleunigungselektrode 3 ange­ legte Spannung, die Länge und die magnetische Kraft des Magneten 33, und die Länge der Trennkammer 32. Die Parallelität und die Energie der Elektronenstrahlen, welche die Kollimatorelektrode 31 passiert haben, hängt von der Spannung ab, welche an die Kollimatorelektrode 31 angelegt ist. Um Elektronenstrahlen mit einer gewünschten Quer­ schnittsfläche und Energie zu erhalten, wird an diese Elektroden vorzugsweise eine einstellbare Spannungsver­ sorgung angeschlossen.
Die gerichteten Elektronenstrahlen nach der Kollimatorelek­ trode 31 treten in die Plasmareaktionskammer 41 ein. In der Plasmareaktionskammer 41 ist im wesentlichen lotrecht zu den Elektronenstrahlen ein hochdichter Bereich des Reaktions­ gases ausgebildet. Die Elektronenstrahlen aktivieren oder erregen das Reaktionsgas in dem hochdichten Bereich, um Teilchen hoher Dichte zu erzeugen. Bei dem Ausführungsbei­ spiel gemäß Fig. 3 ist es ebenfalls nicht unbedingt er­ forderlich, daß das Reaktionsgas in einen Plasmazustand in der Reaktionskammer 41 angeregt wird, wenn sichergestellt ist, daß zumindest aktive Teilchen erregt worden sind.
Die Elektronenstrahldivergierkammer 32, die Plasmareaktions­ kammer 41 und die Plasmabehandlungskammer 42 können jede gewünschte Form aufweisen, vorzugsweise sind sie jedoch als langgestreckter Zylinder ausgebildet oder sie weisen eine rechteckige Gestalt auf. Die Abmessungen der Kammern sind nicht kritisch. Typischerweise beträgt der Abstand zwischen der Elektronenbeschleunigungselektrode 3 und der Kollimator­ elektrode 31 etwa 20 bis 150 mm, der Abstand zwischen der Kollimatorelektrode 31 und der Ionenbeschleunigungselektrode 8 in etwa 30 bis 200 mm und der Abstand zwischen der Ionen­ blockierelektrode 9 und dem Gegenstand 101 in etwa 100 bis 500 mm.
Fig. 4 zeigt ein weiteres Ausführungsbeispiel einer Plasma­ behandlungsvorrichtung. Die Plasmabehandlungsvorrichtung ist allgemein mit 1 bezeichnet und umfaßt eine Plasmaerzeugungs­ kammer 2, eine Elektronenbeschleunigungselektrode 3 zum Herausziehen von Elektronenstrahlen aus der Kammer 2, eine Plasmareaktionskammer 41, eine Ionenbeschleunigungselektrode 8 zum Herausziehen von Ionenstrahlen aus der Reaktionskammer 41, eine Divergier- oder Trennkammer 82 zum radialen Diver­ gieren der Ionenstrahlen, eine Kollimatorelektrode 81 zum Kollimieren des Ionenstrahls und eine Plasmabehandlungs­ kammer 42, wobei die Kammern in Reihe angeordnet sind. Eine Einlaßleitung 5 ist an die Plasmareaktionskammer 41 zur Einleitung von Reaktionsgas in dieselbe angeschlossen.
Die Plasmaerzeugungskammer 2, die Plasmareaktionskammer 41 und die Plasmabehandlungskammer 42 weisen in etwa dieselbe Konstruktion und Funktion auf wie die entsprechenden Kammern gemäß den Ausführungsbeispielen der Vorrichtung in Fig. 1 und 3. Bei diesem Ausführungsbeispiel ist die Divergier­ kammer 82 stromab der Ionenbeschleunigungselektrode 8 ange­ ordnet. Ein Magnet 83 ist um den Umfang der Trennkammer 82 herum zum radialen Divergieren der Ionenstrahlen angeordnet.
Ein hochdichtes Plasma wird in der Plasmareaktionskammer 41 wie bei dem Ausführungsbeispiel gemäß Fig. 1 erzeugt. Ionen- Strahlen werden aus dem Plasma extrahiert mittels der Ionen­ beschleunigungselektrode 8. Die ausgeleiteten Ionenstrahlen sind im wesentlichen parallele Strahlen, die in der Figur nach rechts sich ausbreiten, und der Ionenstrahlfluß weist ungefähr dieselbe Querschnittsfläche auf wie die Plasma­ erzeugungskammer 2.
Das durch den Magneten 83 erzeugte Magnetfeld dient zum radialen Auseinanderleiten oder -führen der Ionenstrahlen, um die Querschnittsfläche des Strahlenflusses zu erweitern oder zu vergrößern. In der Trennkammer 82 erzeugt der Magnet 83 ein Magnetfeld, dessen Intensität allmählich mit Richtung auf die Kollimatorelektrode abfällt. Das magnetische Feld übt auf die Ionenstrahlen eine Kraft in Richtung auf die Wandung der Kammer so aus, daß der Durchmesser des Ionen­ strahlflusses vergrößert wird. Der Magnet 83 kann jede gewünschte Konstruktion aufweisen, die geeignet ist, auf die Elektronenstrahlen eine Kraft auszuüben, wie dies bei dem Magneten 33 der Fig. 3 Ausführung der Fall ist. Falls ein Divergieren der Kationen gewünscht wird, ist vorzugsweise ein Ringmagnet derart angeordnet, daß sein S-Pol auf der Seite der Ionenbeschleunigungselektrode 8 und sein N-Pol auf der Seite der Kollimatorelektrode 81, wie in Fig. 4 gezeigt, angeordnet ist. Ebenfalls bevorzugt ist ein Ringmagnet mit S- und N-Polen, die entlang dessen Innen- und Außenumfängen jeweils angeordnet sind. Im Falle von Anionen ist die Pola­ rität des Magneten umzukehren. Die Polarität der Ionen­ beschleunigungselektrode 8 und der Kollimatorelektrode 81 ist mit Bezug auf die Elektroden für die Kationendivergenz umgedreht zu verwenden.
Die Ionenbeschleunigungselektrode 8 dient zum selektiven Extrahieren von Ionen aus dem Plasma in der Kammer 41, und die Kollimatorelektrode 81 dient zum Beschleunigen der Ionenstrahlen, die durch den Magneten 83 divergiert worden sind. Die Ionenstrahlen, welche die Kollimatorelektrode 81 passiert haben, weisen eine erhöhte Geschwindigkeitskompo­ nente in der Längsrichtung auf und verlaufen im wesentlichen parallel. In der Plasmabehandlungskammer 42 wird der Gegen­ stand 101 mit den Ionenstrahlen behandelt. Jede der Ionen­ beschleunigungs- und Kollimatorelektroden 8 und 81 schließt ein Paar einander gegenüberliegender Elektrodenplatten ein, wobei die Elektrodenplatte auf der Seite der Plasmareak­ tionskammer 41 mit Bezug auf die andere Elektrodenplatte auf der Seite der Plasmabehandlungskammer 42 ein höheres Poten­ tial aufweist.
Die prozentuale radiale Divergenz des Ionenstrahlenflusses beträgt vorzugsweise 150% oder mehr, obwohl sie abhängt von der Spannung, welche an die Ionenbeschleunigungselektrode 8 angelegt ist, von der Länge und der magnetischen Kraft des Magneten 83 und der Länge der Divergierkammer 82. Die Parallelität und Energie der Ionenstrahlen, welche die Kollimatorelektrode 81 passiert haben, hängt ab von der Spannung, die an die Kollimatorelektrode 81 angelegt ist. Um Ionenstrahlen einer gewünschten Querschnittsfläche und Energie zu erzeugen, werden vorzugsweise einstellbare Spannungsversorgungen an diese Elektroden angeschlossen.
Die Konstruktion des Magneten 83, der um die Divergierkammer 82 herum angeordnet ist, ist nicht beschränkt, insbesondere nicht auf das vorstehend angegebene Ausführungsbeispiel, solange er ein effektives Magnetfeld in der Divergierkammer 82 zu erzeugen vermag. Bevorzugt ist ein Permanentmagnet einfachen Aufbaus.
Die Abmessungen und jeweiligen Komponenten der Plasmabehand­ lungskammer sind nicht kritisch und jede gewünschte Ab­ messung kann gewählt werden, solange die jeweiligen Er­ fordernisse erfüllt werden, wie beispielsweise bezüglich des Behandlungsflächenbereichs. Typischerweise beträgt der Ab­ stand zwischen der Elektronenbeschleunigungselektrode 3 und der Ionenbeschleunigungselektrode 8 in etwa 30 bis 200 mm, der Abstand zwischen der Ionenbeschleunigungselektrode 8 und der Kollimatorelektrode 81 in etwa 20 bis 300 mm und der Abstand zwischen der Kollimatorelektrode 81 und dem Gegen­ stand 101 in etwa 100 bis 500 mm.
Die vorstehend beschriebene Plasmabehandlungsvorrichtung ist speziell adaptiert für das Plasma-CVD-Verfahren und das Plasmaätzen. Es ist eine Anwendung der Vorrichtung für sämt­ liche Typen von Plasma-CVD-Behandlungen möglich, einschließ­ lich der Bildung von Filmen aus amorphem Silicium, SiC, Kohle, SiNx und SiOx; polykristallinem Silicium, SiC und Diamant und monokristallinem Silicium, SiC und Diamant. Für die Herstellung von Filmen können andere Parameter wie etwa die Substrattemperatur bestimmt werden entsprechend dem jeweiligen Anwendungsfall ohne großen Experimentieraufwand. Reaktionsgase, die für die Plasma-CVD-Behandlung verwendet werden, können ebenfalls entsprechend dem jeweiligen Film, der erzeugt werden soll bzw. niedergeschlagen werden soll, ausgewählt werden. Herkömmliche oder gewöhnliche reaktive Gase, wie Silangas für amorphe Siliciumfilme und Hydro­ carbongas für die Diamantfilme, können zweckmäßigerweise verwendet werden.
Die vorbeschriebenen Varianten der Behandlungsvorrichtung können auch auf jeden Typ von Plasmaätztechniken angewendet werden. Reaktive Gase, die für das Plasmaätzen verwendet werden, sind nicht beschränkt auf bestimmte Beispiele. Des­ halb können beispielsweise verwendet werden Halogengase, wie etwa Chlorgase, und Halidgase, wie beispielsweise Chloride und Fluoridgase sind vorteilhaft verwendbar. Wenn gewünscht, können zusätzlich zu dem Reaktivgas Wasserstoff oder ein inertes Gas verwendet werden.
Gemäß einer vorteilhaften Abwandlung kann die Plasmaerzeu­ gungskammer 2 mit einem Zusatzanschluß 55 zur Einleitung eines Gases versehen sein, wie in Fig. 3 gezeigt. Bei dem Gas, welches durch den Zusatzanschluß eingeleitet wird, handelt es sich entweder um Reaktivgas oder um Nichtreaktiv­ gas.
Beide vorstehend beschriebenen Ausführungsformen, bei denen Reaktionsgas in die Vorrichtung ausschließlich über das Ein­ gangsmittel 5 und das Richtungsübertragungsmittel 6 ein­ geleitet wird, wird ein hochdichter Bereich an Reaktionsgas in der Plasmareaktionskammer 41 wie vorstehend beschrieben ausgebildet, während der Druck in dem System außerhalb der Plasmareaktionskammer 41, also in der Plasmabehandlungs­ kammer 42, und in der Plasmaerzeugungskammer 2 aufrechter­ halten ist durch Diffusion von Reaktionsgas aus dem hoch­ dichten Bereich. Deshalb sollte das Einleiten von Reaktions­ gas durch das Richtungsübertragungsmittel 6 derart gesteuert werden, daß das Reaktionsgas in der Plasmaerzeugungskammer 2 einen Druck aufweist, der für die Plasmaerzeugung geeignet ist. Wenn Reaktionsgas zusätzlich über den Gaseinführan­ schluß 55 in die Plasmaerzeugungskammer 2 eingeleitet wird, erfolgt die Zuführung von Reaktionsgas über das Eingangs­ mittel 5 und das Richtungsübertragungsmittel 6 unabhängig von der Druckaufrechterhaltung in der Plasmaerzeugungskammer 2, so daß ein hochdichter Bereich noch einfacher ausgebildet werden kann.
Bei den vorstehenden Ausführungsbeispielen, bei denen Reak­ tionsgas in die Vorrichtung ausschließlich über das Ein­ gangsmittel 5 und das Richtungsübertragungsmittel 6 einge­ leitet wird, ist ein regelmäßiges Reinigen erforderlich, weil das Reaktionsgas in der Plasmaerzeugungskammer 2 zugunsten eines Plasma erregt wird, so daß an dem Mikro­ welleneinlaßfenster 22 und der Elektronenbeschleunigungs­ elektrode 3 ein Niederschlag auftritt. Wenn das Reaktionsgas in die Vorrichtung ausschließlich über das Einlaßmittel 5 und das Richtungsübertragungsmittel 6 eingeleitet wird und ein anderes Gas als das Reaktionsgas, beispielsweise Wasser­ stoffgas oder anderes inertes Gas, in die Plasmaerzeugungs­ kammer 2 über den Zusatzzuführanschluß 55 eingespeist wird, wird der Partialdruck des Reaktionsgases in der Plasma­ erzeugungskammer 2 abgesenkt, um Niederschlag an dem Mikro­ welleneinlaßfenster 22 und der Elektronenbeschleunigungs­ elektrode 3 zu reduzieren. Es tritt keine Erniedrigung der Durchsatzgeschwindigkeit auf, weil der Reaktionsgasdruck in dem hochdichten Bereich unverändert bleibt.
Die vorstehend beschriebenen Ausführungsformen der Behand­ lungsvorrichtung sind auch auf dem Gebiet der Ionenimplan­ tation mit Vorteil einsetzbar.
Beispiele
Der Betrieb der vorstehend beschriebenen Plasmabehandlungs­ vorrichtungen soll anhand von Beispielen nachfolgend näher beschrieben werden. In den Beispielen steht SCCM für Standardkubikzentimeter.
Beispiel 1
Unter Verwendung der in Fig. 1 gezeigten Plasmabehandlungs­ vorrichtung 1 ist ein dünner Diamantfilm mittels Plasma-CVD hergestellt worden. Die Elektronenbeschleunigungselektrode 3 war 70 mm von der Ionenbeschleunigungselektrode 8 beabstan­ det und ein Gegenstand oder Substrat 101 war 150 mm von der Ionenblockierelektrode 9 beabstandet. Das Richtungsüber­ tragungsmittel 6 war gebildet von einer dünnen Polycarbonat­ platte mit Löchern auf einem Netzträger. Durchgangslöcher waren mit einer Population von 2×107 pro Quadratzentimeter in dem Bereich der dünnen Platte verteilt, der eine Ab­ messung von 10 mm in Längsrichtung (Elektronenstromrichtung) und 120 mm in Querrichtung aufwies. Jedes Durchgangsloch hatte eine Länge (l) von 11 µm und einen Radius (r) von 1 µm, wobei l/r = 11.
Das Gas in der Plasmabehandlungsvorrichtung wurde evakuiert auf ein Niedrigvakuum über den Niedrigvakuumgasabzugsan­ schluß 10 sowie daraufhin auf einen Hochvakuumpegel über den Hochvakuumgasabzugsanschluß 7, bis sich ein Hochvakuum von 10-6 Torr in dem Gehauseinnern ausgebildet hatte.
Ein Reaktionsgas wurde in die Behandlungskammer 4 über das Einlaßmittel 5 und das Richtungsübertragungsmittel 6 einge­ leitet. Ein Gemisch von CH4 und H2 in einem Volumenverhält­ nis von 1:100 wurde eingeleitet als Reaktionsgas mit einer Flußrate von 150 SCCM. Während der Zufuhr von Reaktionsgas wurde die Evakuierung durch den Hochvakuumgasabsauganschluß 7 fortgesetzt, so daß die Plasmaerzeugungskammer 2 und die Plasmabehandlungskammer 42 auf einen Druck von 4×10-4 Torr gehalten wurden.
Plasma-CVD-Behandlung wurde durchgeführt, indem ein ECR- Plasma erzeugt wurde in der Plasmaerzeugungskammer 2, und indem eine Spannung an die Elektronenbeschleunigungselek­ trode 3 und die Ionenbeschleunigungselektrode 8 angelegt wurde. Die Plasmaerzeugungsbedingungen schlossen ein eine Mikrowellenbetriebsleistung von 300 Watt, einen Magnet­ stromfluß von 5 Ampere, einen Druck von 4×10-4 Torr, und eine Beschleunigungsspannung von -800 Volt (negativ gegen­ über dem Substrat) . Als Substrat wurde ein Siliciumwafer verwendet, der aufgeheizt wurde auf 900°hC. Als Grund- bzw. Versorgungsspannung 103 wurde an das Substrat eine Wechsel­ spannung angeschlossen, um eine Ladungsakkumulation auf seiner Oberfläche zu verhindern.
Es wurde ein Film von 1 /um Dichte auf dem Siliciumwafer niedergeschlagen. Der Film wurde mittels Röntgendiffrak­ tionsanalyse und Ramanspektroskopie als Diamantfilm iden­ tifiziert. Das sogenannte "little idiomorphic face" wurde in einem Rasterelektronenmikroskop untersucht.
Zu Vergleichszwecken wurde eine Plasma-CVD-Behandlung durch­ geführt unter Weglassung des Richtungsübertragungsmittels 6 von dem Einlaßmittel 5. Der Druck in der Behandlungskammer und in der Plasmaerzeugungskammer 2 war derselbe wie der vorstehend genannte. Für beide Betriebsabläufe mit und ohne Richtungsübertragungsmittel wurde die Ionenkonzentration in der Umgebung der Ionenbeschleunigungselektrode 8 mittels Emissionsspektroskopie gemessen. Die Ionenkonzentration während des Betriebs mit Richtungsübertragungsmittel war 80 mal größer als diejenige ohne dieses Mittel. Die Nieder­ schlagsrate war bei Verwendung des Richtungsübertragungs­ mittels um den Faktor 3 höher als ohne Verwendung dieses Mittels.
Beispiel 2
Unter Verwendung derselben Vorrichtung wie in Beispiel 1 wurde durch Plasma-CVD-Behandlung ein amorpher Siliciumfilm hergestellt. Die Bedingungen waren dieselben wie in Beispiel 1 mit Ausnahme, daß eine Mischung von SiH4 und H2 in einem Volumenverhältnis von 1:50 als Reaktionsgas eingeleitet worden ist mit einer Flußrate von 150 SCCM, wobei das Substrat aufgeheizt wurde auf 200°C.
Der Film wurde mittels Röntgendiffraktionsanalyse und Raman­ spektroskopie identifiziert als amorphes Silicium.
Für beide Betriebsabläufe, nämlich mit und ohne Richtungs­ übertragungsmittel wurde die Ionenkonzentration in Umgebung der Ionenbeschleunigungselektrode gemessen, wobei zumindest gleiche Ergebnisse wie diejenigen in Beispiel 1 gemessen worden sind. Die Niederschlagsrate war in ähnlicher Weise erhöht wie beim Beispiel 1.
Beispiel 3
Unter Verwendung derselben Vorrichtung wie in Beispiel 1 wurde Plasmaätzen ausgeführt. Als Reaktionsgas wurde SF6 verwendet. Während der Zuführung von Reaktionsgas wurde die Evakuierung durch den Hochvakuumgasabsauganschluß 7 fortge­ setzt, so daß die Plasmaerzeugungskammer 2 und die Plasma­ behandlungskammer 42 auf einem Druck von 4×10 4 Torr ge­ halten wurden. Der zu behandelnde Gegenstand war ein 1 µm starker amorpher Siliciumfilm auf einem Quartzträger mit einem auf diesem ausgebildeten Widerstandsmuster mit einer Liniendicke von 1 µm.
Beim Abschluß des Ätzens wurden Rillen eines wohldefinierten Querschnitts ohne ein seitliches Ätzen bzw. Verätzen ge­ funden.
Für beide Betriebsarten mit und ohne Richtungsübertragungs­ mittel wurde eine Ionenkonzentration in der Umgebung der Ionenbeschleunigungselektrode 8 gemessen, die zumindest äquivalent zu den Resultaten des Beispiels 1 war. Die Ätz­ rate war 6 mal größer bei der Verwendung des Richtungsüber­ tragungsmittels im Vergleich zu dessen Nichtverwendung.
Beispiel 4
Unter Verwendung der in Fig. 3 dargestellten Plasmabehand­ lungsvorrichtung wurde ein dünner Diamantfilm durch Plasma- CVD-Behandlung hergestellt. Die Plasmaerzeugungskammer 2 hatte einen Durchmesser von 100 mm und die Divergierkammer 32 hatte einen Durchmesser von 170 mm. Der Abstand zwischen der Elektronenbeschleunigungselektrode 3 und der Kollimator­ elektrode 31 betrug 70 mm, der Abstand zwischen der Kollima­ torelektrode 31 und der Ionenbeschleunigungselektrode 8 war 70 mm, und der Abstand zwischen der Ionenblockierelektrode 9 und einem Substrat oder einem Gegenstand 101 betrug 150 mm. Der Magnet 33 zum Divergieren von Elektronenstrahlen war ein ringförmiger Permanentmagnet mit einer axialen Länge von 40 mm. Das Richtungsübertragungsmittel 6 war eine perforierte dünne Polycarbonatplatte auf einem Netzträger, wie bei dem Beispiel 1.
Das Gas in der Plasmabehandlungsvorrichtung wurde evakuiert auf einen Niedrigvakuumpegel durch den Niedrigvakuumgasab­ sauganschluß 10 und daraufhin auf einen Hochvakuumpegel über den Hochvakuumgasabsauganschluß 7, bis sich in dem Gehäuse­ innern ein Hochvakuum von 10-6 Torr eingestellt hatte.
Ein Reaktionsgas wurde in die Plasmareaktionskammer 41 über das Einlaßmittel 5 und das Richtungsübertragungsmittel 6 eingeführt. Ein Gemisch von CH4 und H2 mit einem Volumen­ verhältnis von 1:100 wurde als Reaktionsgas mit einer Fluß­ rate von 150 SCCM eingeleitet. Während Reaktionsgas zuge­ führt wurde, ist die Evakuierung über den Hochvakuumgasab­ sauganschluß 7 fortgesetzt worden, so daß die Plasmaerzeu­ gungskammer 2 und die Plasmabehandlungskammer 42 auf einem Druck von 4× 10-4 Torr gehalten werden konnten.
Plasma-CVD-Behandlung wurde durchgeführt unter Erzeugung eines ECR-Plasmas in der Plasmaerzeugungskammer 2 sowie unter Anlegung einer Spannung an die Elektronenbeschleuni­ gungselektrode 3, die Elektronenstrahlkollimatorelektrode 31 und die Ionenbeschleunigungselektrode 8. Als Substrat wurde ein Siliciumwafer mit einem Durchmesser von 6 Inch ver­ wendet, der auf 900°C aufgeheizt wurde. Um eine Akkumu­ lation von Ladung auf der Oberfläche des Substrats zu ver­ hindern, wurde mittels der Grundspannungsversorgung 103 eine Wechselspannung angelegt.
Auf diese Weise wurde auf dem Siliciumwafersubstrat ein Film von einem 1µm Dicke erzeugt. Der Film wurde mittels Röntgendiffraktionsanalyse und Ramanspektroskopie iden­ tifiziert als Diamant. Das sogenannte "little idiomorphic face" wurde unter einem Rasterelektronenmikroskop unter­ sucht, mit dem Ergebnis, daß der Film über die gesamte Substratoberfläche ohne Unregelmäßigkeiten war.
Beispiel 5
Unter Verwendung derselben Vorrichtung wie in Beispiel 4 wurde durch Plasma-CVD-Behandlung ein amorpher Siliciumfilm hergestellt. Die Bedingungen waren dieselben wie in Beispiel 4 mit der Ausnahme, daß ein Gemisch aus SiH4 und H2 in einem Volumenverhältnis von 1:50 als Reaktionsgas mit einer Fluß­ rate von 150 SCCM eingeleitet wurde, wobei das Substrat aufgeheizt wurde auf 200°C.
Der Film wurde mittels Röntgendiffraktionsanalyse und Raman­ spektroskopie identifiziert als amorphes Silicium. Eine Untersuchung unter dem Rasterelektronenmikroskop ergab, daß der Film über die gesamte Substratoberfläche ohne Unregel­ mäßigkeiten eben ausgebildet war.
Beispiel 6
Unter Verwendung derselben Vorrichtung wie bei Beispiel 4 wurde Plasmaätzen ausgeführt. Das Reaktionsgas hierfür war SF6. Während der Zufuhr des Reaktionsgases wurde die Evaku­ ierung über den Hochvakuumgasabsauganschluß 7 fortgesetzt, so daß die Plasmaerzeugungskammer 2 und die Plasmabehand­ lungskammer 42 auf einem Druck von 4×10-4 Torr gehalten werden konnten. Der zu behandelnde Gegenstand war ein 1 µm dicker amorpher Siliciumfilm mit einem Durchmesser von 6 Inch auf einem Quartzträger mit einem darauf ausgebildeten Widerstandsmuster mit einer Linienbreite von 1 µm.
Am Ende des Ätzvorgangs wurden Rillen mit wohldefiniertem Querschnitt ohne Spuren von seitlichem Verätzen gefunden. Eine Untersuchung unter einem Rasterelektronenmikroskop ergab, daß das Ätzen über die gesamte beaufschlagte Film­ oberfläche ohne Unregelmäßigkeiten in glatter oder ebener Weise erfolgt war.
In den Beispielen 4 bis 6 wurden ebenso wie in den Bei­ spielen 1 bis 3 Erhöhungen in der Ionenkonzentration und in der Niederschlags- oder Ätzrate während des gesamten Behand­ lungsablaufs mit Bezug auf eine Behandlung ohne Richtungs­ übertragungsmittel gefunden.
Beispiel 7
Unter der Verwendung der Plasmabehandlungsvorrichtung gemäß Fig. 4 wurde eine Plasmabehandlung wie in den Beispielen 4 bis 6 ausgeführt.
Die Plasmaerzeugungskammer 2, die Plasmareaktionskammer 41 und die Plasmabehandlungskammer 42 hatten dieselben Ab­ messungen wie in Beispiel 4. Die Ionenstrahlendivergier­ kammer 82 hatte denselben Durchmesser wie die Elektronen­ strahldivergierkammer 32 im Beispiel 4. Der Abstand zwischen der Ionenbeschleunigungselektrode 8 und der Kollimatorelek­ trode 81 betrug 150 mm. Das Richtungsübertragungsmittel 6 und andere Bauteile waren im wesentlichen dieselben wie in Beispiel 4.
Einwandfreie glatte bzw. ebene Plasmabehandlungsergebnisse wurden in konsistenter Weise erreicht für alle Durchgänge.
Mit sämtlichen vorstehend beschriebenen Ausführungsformen der Plasmabehandlungsvorrichtung lassen sich Behandlungen in kürzester Zeit durchführen. Weiterhin tritt bei der Behand­ lung von Gegenständen eine minimale Beschädigungsrate auf. Insbesondere werden durch Plasma-CVD-Behandlung im wesent­ lichen defektfreie Filme hoher Qualität gewonnen. Die Vor­ richtung zur Plasmabehandlung eignet sich auch bestens zur Plasmabehandlung für größere Oberflächenbereiche.

Claims (38)

1. Verfahren zur Durchführung einer Plasmabehandlung unter Verwendung einer Plasmabehandlungsvorrichtung mit
  • - einer Plasmaerzeugungskammer, die eine Einrichtung zum Erzeugen eines Plasmas in derselben umfaßt,
  • - einer Behandlungskammer, die über eine Elektronen­ beschleunigungselektrode in Übertragungsverbindung mit der Plasmaerzeugungskammer steht, und
  • - einem Einlaßmittel zum Einführen eines Reaktions­ gases in die Behandlungskammer, wobei die Elektronenbeschleunigungselektrode dazu dient, einen Elektronenfluß (Elektronenstrom) aus dem Plasma in die Behandlungskammer zu überführen, dadurch gekennzeichnet, daß ein hochdichter Bereich an Reaktionsgas lotrecht zu dem Elektronenfluß in der Behandlungskammer ausge­ bildet wird.
2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die Plasmaerzeugungseinrichtung eine Elektronenzyklotron­ resonanzeinrichtung ist.
3. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, daß die Plasmabehandlung eine Plasma-CVD-Behandlung ist.
4. Verfahren nach Anspruch 1 oder 2, dadurch gekennzeichnet, daß die Plasmabehandlung Plasmaätzen ist.
5. Verfahren zur Durchführung einer Plasmabehandlung unter Verwendung einer Plasmabehandlungsvorrichtung mit
  • - einer Plasmaerzeugungskammer, die eine Einrichtung zur Plasmaerzeugung einschließt,
  • - einer Elektronenbeschleunigungselektrode zum Erzeugen von bzw. Herausziehen von Elektronenstrahlen aus dem Plasma,
  • - einer Kammer mit einem um deren Umfang herum angeord­ neten Magneten zum radialen Divergieren der Elektronen­ strahlen,
  • - einer Kollimatorelektrode zum Kollimieren der diver­ gierten Elektronenstrahlen in im wesentlichen parallele Elektronenstrahlen,
  • - einer Plasmareaktionskammer, welche an die Divergier­ kammer angeschlossen ist,
  • - Einlaßmitteln zum Einführen eines Reaktionsgases in die Reaktionskammer, wobei das Reaktionsgas durch die kollimierten Elektronenstrahlen in der Reaktionskammer aktiviert wird, und
  • - einer Plasmabehandlungskammer, die mit der Plasma­ reaktionskammer in überführungsverbindung steht, um eine Plasmabehandlung an einem darin angeordneten Gegenstand durchzuführen, dadurch gekennzeichnet, daß ein hochdichter Bereich an Reaktionsgas im wesent­ lichen lotrecht zu den Elektronenstrahlen in der Plasmareaktionskammer ausgebildet wird.
6. Verfahren nach Anspruch 5, dadurch gekennzeichnet, daß die Plasmaerzeugungseinrichtung eine Elektronenzyklotron­ resonanzeinrichtung ist.
7. Verfahren nach Anspruch 5 oder 6, dadurch gekennzeichnet, daß die Plasmabehandlung eine Plasma-CVD-Behandlung ist.
8. Verfahren nach Anspruch 5 oder 6, dadurch gekennzeichnet, daß die Plasmabehandlung Plasmaätzen ist.
9. Verfahren zur Durchführung einer Plasmabehandlung unter Verwendung einer Plasmabehandlungsvorrichtung mit
  • - einer Plasmaerzeugungskammer, die eine Einrichtung zur Plasmaerzeugung einschließt,
  • - einer Elektronenbeschleunigungselektrode zum Heraus­ ziehen von Elektronenstrahlen aus dem Plasma,
  • - einer Plasmareaktionskammer, welche an die Plasma­ erzeugungskammer angeschlossen ist,
  • - einer Einlaßeinrichtung zum Einführen eines Reaktions­ gases in die Reaktionskammer, wobei das Reaktionsgas durch die Elektronenstrahlen in der Reaktionskammer aktiviert wird,
  • - einer Ionenbeschleunigungselektrode zum Herausziehen von Ionenstrahlen aus der Plasmareaktionskammer,
  • - einer Kammer mit einem um ihren Umfang herum angeord­ neten Magneten zum radialen Divergieren der Ionen­ strahlen, welche sich im Anschluß an die Ionen­ beschleunigungselektrode ausbreiten,
  • - einer Kollimatorelektrode zum Kollimieren der diver­ genten Ionenstrahlen in im wesentlichen parallele Ionenstrahlen, und
  • - einer Plasmabehandlungskammer zum Durchführen einer Plasmabehandlung an einem Gegenstand mit den kolli­ mierten Ionenstrahlen, dadurch gekennzeichnet, daß ein hochdichter Bereich von Reaktionsgas im wesentlichen lotrecht zu den Elektronenstrahlen in der Plasmareaktionskammer ausgebildet wird.
10. Verfahren nach Anspruch 9, dadurch gekennzeichnet, daß die Plasma erzeugende Einrichtung eine Elektronenzyklo­ tronresonanzeinrichtung ist.
11. Verfahren nach Anspruch 9 oder 10, dadurch gekennzeich­ net, daß es sich bei der Plasmabehandlung um eine Plasma-CVD-Behandlung handelt.
12. Verfahren nach Anspruch 9 oder 10, dadurch gekennzeich­ net, daß es sich bei der Plasmabehandlung um Plasmaätzen handelt.
13. Vorrichtung zur Plasmabehandlung, gekennzeichnet durch
  • - eine Plasmaerzeugungskammer mit einer Einrichtung zur Plasmaerzeugung in derselben,
  • - eine Behandlungskammer, die über eine Elektronen­ beschleunigungselektrode in Übertragungsverbindung mit der Plasmaerzeugungskammer steht,
  • - eine Einlaßeinrichtung zum Einführen eines Reaktions­ gases in die Behandlungskammer, und
  • - ein Richtungsübertragungsmittel, das zwischen der Behandlungskammer und dem Einlaßmittel angeordnet ist, um dem (einströmenden) Reaktionsgas eine bestimmte (Strömungs-)Richtung aufzuprägen.
14. Vorrichtung nach Anspruch 13, dadurch gekennzeichnet, daß die Plasmaerzeugungseinrichtung eine Elektronen­ zyklotronresonanzeinrichtung ist.
15. Vorrichtung nach Anspruch 13, dadurch gekennzeichnet, daß das Richtungsübertragungsmittel eine dünne Platte aufweist, die eine Mehrzahl von Bohrungen oder Löchern aufweist.
16. Vorrichtung nach Anspruch 15, dadurch gekennzeichnet, daß die Löcher sich durch die dünne Platte hindurch im wesentlichen lotrecht zu den Elektronenstrahlen er­ strecken, welche die Elektronenbeschleunigungselektrode durchsetzen, und daß die Löcher eine zylindrische Gestalt aufweisen mit einer Länge l und einem Radius r in einem Verhältnis l/r von 1/1 zu 500/1.
17. Vorrichtung nach Anspruch 13, gekennzeichnet durch eine Ionenbeschleunigungselektrode und/oder eine Ionen­ blockierelektrode in der Behandlungskammer.
18. Vorrichtung nach Anspruch 13, gekennzeichnet durch einen Hochvakuumgasabsauganschluß, der in der Wandung der Behandlungskammer in Gegenüberlage zu dem Richtungsüber­ tragungsmittel angeordnet ist.
19. Vorrichtung nach Anspruch 13, gekennzeichnet durch einen Einlaßanschluß , der in Übertragungsverbindung steht mit der Plasmaerzeugungskammer, wobei durch diesen Anschluß Gas in diese Kammer eingeleitet wird.
20. Plasmabehandlungsvorrichtung, gekennzeichnet durch
  • - eine Plasmaerzeugungskammer mit einer Einrichtung zur Erzeugung von Plasma in derselben,
  • - eine Elektronenbeschleunigungselektrode zum Heraus­ ziehen von Elektronenstrahlen aus dem Plasma,
  • - eine Kammer mit einem um deren Umfang herum ange­ ordneten Magneten zum radialen Divergieren der Elektronenstrahlen,
  • - eine Kollimatorelektrode zum Kollimieren der diver­ genten Elektronenstrahlen in im wesentlichen parallele Elektronenstrahlen,
  • - eine Plasmareaktionskammer, welche an die Divergier­ kammer über die Kollimatorelektrode angeschlossen ist,
  • - ein Einlaßmittel zum Einleiten eines Reaktionsgases in die Plasmareaktionskammer, wobei das Reaktionsgas durch die kollimierten Elektronenstrahlen in der Plasmareaktionskammer aktiviert werden,
  • - eine Plasmabehandlungskammer, die in Übertragungsver­ bindung steht mit der Plasmareaktionskammer, um auf einem Gegenstand mittels der Elektronenstrahlen eine Plasmabehandlung durchzuführen, und
  • - ein Richtungsübertragungsmittel, das zwischen dem Einlaßmittel und der Plasmareaktionskammer angeordnet ist, um dem Reaktionsgas eine vorbestimmte (Aus­ breitungs-)Richtung zu vermitteln.
21. Vorrichtung nach Anspruch 20, gekennzeichnet durch eine Ionenbeschleunigungselektrode und/oder eine Ionen­ blockierelektrode im Bereich zwischen der Plasmareak­ tionskammer und der Plasmabehandlungskammer.
22. Vorrichtung nach Anspruch 20, gekennzeichnet durch eine Plasmaerzeugungseinrichtung in Gestalt einer Elektronen­ zyklotronresonanzeinrichtung.
23. Vorrichtung nach Anspruch 20, dadurch gekennzeichnet, daß das Richtungsübertragungsmittel eine dünne Platte umfaßt mit einer Mehrzahl von in dieser ausgebildeten Löchern oder Bohrungen.
24. Vorrichtung nach Anspruch 23, dadurch gekennzeichnet, daß die Löcher sich durch die dünne Platte hindurch im wesentlichen lotrecht zu den Elektronenstrahlen er­ strecken, welche die Elektronenbeschleunigungselektrode durchsetzen, und daß die Löcher eine zylindrische Gestalt aufweisen mit einer Länge l und einem Radius r in einem Verhältnis l/r von 1/1 zu 500/1.
25. Vorrichtung nach Anspruch 21, gekennzeichnet durch einen Hochvakuumgasabsauganschluß, der in der Wandung der Plasmareaktionskammer in Gegenüberlage zu dem Richtungs­ übertragungsmittel angeordnet ist.
26. Vorrichtung nach Anspruch 21, gekennzeichnet durch einen Einlaßanschluß, der in Übertragungsverbindung steht mit der Plasmaerzeugungskammer, um in diese Gas einzuleiten.
27. Plasmabehandlungsvorrichtung, gekennzeichnet durch
  • - eine Plasmaerzeugungskammer mit einer Einrichtung zur Erzeugung eines Plasmas in derselben,
  • - eine Elektronenbeschleunigungselektrode zum Heraus­ ziehen von Elektronenstrahlen aus dem Plasma,
  • - eine Plasmareaktionskammer, welche an die Plasma­ erzeugungskammer mittels der Elektronenbeschleuni­ gungselektrode angeschlossen ist,
  • - ein Einlaßmittel zum Einleiten eines Reaktionsgases in die Plasmabehandlungskammer, wobei das Reaktionsgas durch die Elektronenstrahlen in der Plasmareaktions­ kammer aktiviert wird,
  • - eine Ionenbeschleunigungselektrode zum Herausziehen von Ionenstrahlen aus der Plasmareaktionskammer,
  • - eine Kammer mit einem um deren Umfang herum angeord­ neten Magneten zum radialen Divergieren der Ionen­ strahlen,
  • - eine Kollimatorelektrode zum Kollimieren der divergen­ ten Ionenstrahlen in im wesentlichen parallele Ionen­ strahlen,
  • - eine Plasmabehandlungskammer zum Durchführen der Plasmabehandlung auf einem Gegenstand mittels der kollimierten Ionenstrahlen, und
  • - ein Richtungsübertragungsmittel, das zwischen dem Einlaßmittel und der Plasmareaktionskammer angeordnet ist, um dem Reaktionsgas eine (Vorzugs-)Richtung zu vermitteln.
28. Vorrichtung nach Anspruch 27, gekennzeichnet durch eine Plasmaerzeugungseinrichtung in Gestalt einer Elektronen­ zyklotronresonanzeinrichtung.
29. Vorrichtung nach Anspruch 27, dadurch gekennzeichnet, daß das Richtungsübertragungsmittel eine dünne Platte mit einer Mehrzahl von Bohrungen in derselben ist.
30. Vorrichtung nach Anspruch 29, dadurch gekennzeichnet, daß die Bohrungen sich durch die dünne Platte im wesent­ lichen lotrecht zu den Elektronenstrahlen erstrecken, welche die Elektronenbeschleunigungselektrode durch­ setzen, und daß die Löcher eine zylyndrische Gestalt aufweisen mit einer Länge l und einem Radius r in einem Betrag l/r von 1/1 zu 500/1.
31. Vorrichtung nach Anspruch 27, gekennzeichnet durch einen Hochvakuumgasabsauganschluß, der in der Wandung der Plasmareaktionskammer in Gegenüberlage zu dem Richtungs­ übertragungsmittel angeordnet ist.
32. Vorrichtung nach Anspruch 27, gekennzeichnet durch einen Einlaßanschluß, der in Übertragungsverbindung steht mit der Plasmaerzeugungskammer, um in dieselbe ein Gas ein­ zuleiten.
33. Verfahren zur Durchführung einer Vakuumbehandlung unter Verwendung einer Vakuumbehandlungsvorrichtung, die ein Mittel umfaßt zur Erzeugung einer hohen Dichte von Elek­ tronen in derselben, einer Behandlungskammer, die in Übertragungsverbindung steht mit der Erzeugungskammer mittels einer Elektronenbeschleunigungselektrode und einem Eingangsmittel zum Einleiten eines Reaktionsgases in die Behandlungskammer, wobei die Elektronenbeschleu­ nigungselektrode dazu dient, einen Strom von Elektronen aus der Erzeugungskammer in die Behandlungskammer zu überführen, dadurch gekennzeichnet, daß ein hochdichter Bereich an Reaktionsgas im wesentlichen lotrecht zu dem Elektronenstrom in der Behandlungskammer erzeugt wird.
34. Verfahren zur Durchführung einer Vakuumbehandlung unter Verwendung einer Vakuumbehandlungsvorrichtung, mit einer Erzeugungskammer, die eine Einrichtung zur Erzeugung einer hohen Dichte von Elektronen in derselben aufweist, einer Elektronenbeschleunigungselektrode zum Heraus­ ziehen von Elektronenstrahlen aus der Erzeugungskammer, einer Kammer mit einem um deren Umfang herum angeordne­ ten Magneten zum radialen Divergieren der Elektronen­ strahlen, einer Kollimatorelektrode zum Kollimieren der divergenten Elektronenstrahlen in im wesentlichen parallele Elektronenstrahlen, einer Aktivatorkammer, welche an die Divergierkammer angeschlossen ist, einem Einlaßmittel zum Einführen eines Reaktionsgases in die Aktivierkammer, wobei das Reaktionsgas aktiviert wird durch die kollimierten Elektronenstrahlen in der Akti­ vierkammer, und einer Behandlungskammer, die in Über­ tragungsverbindung steht mit der Aktivierkammer, um Vakuumniederschlagen oder Vakuumbehandeln an einem darin angeordneten Gegenstand durchzuführen, dadurch gekenn­ zeichnet, daß ein hochdichter Bereich an Reaktionsgas im wesentlichen lotrecht zu den Elektronenstrahlen in der Aktivierkammer ausgebildet ist.
35. Verfahren zur Durchführung einer Vakuumbehandlung unter Verwendung einer Vakuumbehandlungsvorrichtung mit einer Erzeugungskammer, die eine Einrichtung zum Erzeugen einer hohen Dichte von Elektronen in derselben aufweist, einer Elektronenbeschleunigungselektrode zum Heraus­ ziehen von Elektronenstrahlen aus der Erzeugungskammer, einer Aktivierungskammer, die an die Erzeugungskammer angeschlossen ist, einer Einlaßeinrichtung zum Einführen eines Reaktionsgases in die Aktivierungskammer, wobei das Reaktionsgas aktiviert wird durch Elektronenstrahlen in der Aktivierungskammer, einer Ionenbeschleunigungs­ elektrode zum Herausziehen von Elektronenstrahlen aus der Aktivierungskammer, einer Kammer mit einem um deren Umfang herum angeordneten Magneten zum radialen Diver­ gieren der Ionenstrahlen, die sich im Anschluß an die Beschleunigungselektrode ausbreiten, einer Kollimator­ elektrode zum Kollimieren der divergenten Ionenstrahlen in im wesentlichen parallele Ionenstrahlen und einer Behandlungskammer zum Durchführen von Vakuumnieder­ schlagen oder -behandeln an einem Gegenstand mittels der kollimierten Ionenstrahlen, dadurch gekennzeichnet, daß ein hochdichter Bereich an Reaktionsgas im wesentlichen lotrecht zu den Elektronenstrahlen in der Aktivierungs­ kammer ausgebildet ist.
36. Vorrichtung zur Vakuumbehandlung, gekennzeichnet durch
  • - eine Generatorkammer, welche Mittel zur Erzeugung einer hohen Dichte an Elektronen in derselben auf­ weist,
  • - eine Behandlungskammer, die in Übertragungsverbindung steht mit der Erzeugungskammer über eine Elektronen­ beschleunigungselektrode,
  • - ein Einlaßmittel zum Einleiten eines Reaktionsgases in die Behandlungskammer, und
  • - ein Richtungsübertragungsmittel zwischen der Behand­ lungskammer und der Einlaßeinrichtung, um dem Reaktionsgas eine (vorbestimmte) Richtung zu ver­ mitteln.
37. Vakuumbehandlungsvorrichtung, gekennzeichnet durch
  • - eine Erzeugungskammer, die ein Mittel zur Erzeugung einer hohen Dichte von Elektronen in derselben auf­ weist,
  • - eine Elektronenbeschleunigungselektrode zum Heraus­ ziehen von Elektronenstrahlen aus der Erzeugungs­ kammer,
  • - eine Kammer mit einem um deren Umfang angeordneten Magneten zum radialen Divergieren der Elektronen­ strahlen,
  • - eine Kollimatorelektrode zum Kollimieren der divergen­ ten Elektronenstrahlen in im wesentlichen parallele Elektronenstrahlen,
  • - eine Aktivierungskammer, welche an die Divergierkammer mittels der Kollimatorelektrode angeschlossen ist,
  • - ein Einlaßmittel zum Einleiten eines Reaktionsgases in die Aktivierungskammer, wobei das Reaktionsgas akti­ viert wird durch die kollimierten Elektronenstrahlen in der Aktivierungskammer,
  • - eine Behandlungskammer, die angeschlossen ist an die Aktivierungskammer und dazu ausgelegt ist, die Elek­ tronenstrahlen zum Zwecke eines Vakuumniederschlags oder einer Vakuumbehandlung an einem Gegenstand zu leiten, und
  • - ein Richtungsübertragungsmittel zwischen dem Einlaß­ mittel und der Aktivierungskammer, mit welchem dem Reaktionsgas eine Richtung aufgeprägt wird.
38. Vakuumbehandlungsvorrichtung, gekennzeichnet durch
  • - eine Erzeugungskammer, welche Mittel zur Erzeugung einer hohen Dichte von Elektronen in derselben auf­ weist,
  • - eine Elektronenbeschleunigungselektrode zum Heraus­ ziehen von Elektronenstrahlen aus der Erzeugungs­ kammer,
  • - eine Aktivierungskammer, welche an die Erzeugungs­ kammer mittels der Elektronenbeschleunigungselektrode angeschlossen ist,
  • - ein Einlaßmittel zum Einleiten eines Reaktionsgases in die Aktivierungskammer, wobei das Reaktionsgas aktiviert wird durch die Elektronenstrahlen in der Aktivierungskammer,
  • - eine Ionenbeschleunigungselektrode zum Herausziehen von Elektronenstrahlen aus der Aktivierungskammer,
  • - eine Kammer mit einem um deren Umfang herum angeord­ neten Magneten zum radialen Divergieren der Ionen­ strahlen,
  • - eine Kollimatorelektrode zum Kollimieren der diver­ genten Ionenstrahlen in im wesentlichen parallele Ionenstrahlen,
  • - eine Behandlungskammer, welche an die Divergierkammer angeschlossen und dazu ausgelegt ist, die Ionen­ strahlen zu kollimieren, um einen Vakuumniederschlag oder eine Vakuumbehandlung an dem Gegenstand durchzu­ führen, und
  • - ein Richtungsübertragungsmittel zwischen dem Einlaß­ mittel und der Aktivierungskammer, mit welchem Mittel im Reaktionsgas eine Richtung vorgegeben wird.
DE4023511A 1989-07-24 1990-07-24 Verfahren und vorrichtung zur durchfuehrung einer vakuumbehandlung Withdrawn DE4023511A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP19118189A JPH0356677A (ja) 1989-07-24 1989-07-24 プラズマ処理方法およびプラズマ処理装置
JP1301139A JP2963116B2 (ja) 1989-11-20 1989-11-20 プラズマ処理方法およびプラズマ処理装置

Publications (1)

Publication Number Publication Date
DE4023511A1 true DE4023511A1 (de) 1991-01-31

Family

ID=26506549

Family Applications (1)

Application Number Title Priority Date Filing Date
DE4023511A Withdrawn DE4023511A1 (de) 1989-07-24 1990-07-24 Verfahren und vorrichtung zur durchfuehrung einer vakuumbehandlung

Country Status (2)

Country Link
US (1) US5082685A (de)
DE (1) DE4023511A1 (de)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE19731181C2 (de) * 1996-07-10 2002-03-14 Suzuki Motor Co Verfahren und Vorrichtung zum Beschichten von polymeren Grundwerkstoffen mit dünnen SiC-Schichten
DE4324325B4 (de) * 1992-07-21 2006-09-14 Unaxis Balzers Ag Verfahren zur Herstellung eines Bauelementes, optisches Bauelement, Verwendung desselben und Vakuumbehandlungsanlage zu seiner Herstellung

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5304775A (en) * 1991-06-06 1994-04-19 Mitsubishi Denki Kabushiki Kaisha Method of etching a wafer having high anisotropy with a plasma gas containing halogens and in inert element
JPH05326452A (ja) * 1991-06-10 1993-12-10 Kawasaki Steel Corp プラズマ処理装置及び方法
US5449920A (en) * 1994-04-20 1995-09-12 Northeastern University Large area ion implantation process and apparatus
KR100226366B1 (ko) * 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
US6066568A (en) * 1997-05-14 2000-05-23 Tokyo Electron Limited Plasma treatment method and system
DE60304745T2 (de) * 2002-12-18 2007-01-25 Cardinal Cg Co., Eden Prairie Plasmaunterstützte filmabscheidung
US20040200418A1 (en) * 2003-01-03 2004-10-14 Klaus Hartig Plasma spray systems and methods of uniformly coating rotary cylindrical targets
US20040179799A1 (en) * 2003-03-10 2004-09-16 Kariofilis Konstadinidis Fiber optic cable comprising a core surrounded by coating having a radially-varying elastic modulus
US7147900B2 (en) * 2003-08-14 2006-12-12 Asm Japan K.K. Method for forming silicon-containing insulation film having low dielectric constant treated with electron beam radiation
US7772544B2 (en) * 2007-10-09 2010-08-10 Tokyo Electron Limited Neutral beam source and method for plasma heating
US7732759B2 (en) * 2008-05-23 2010-06-08 Tokyo Electron Limited Multi-plasma neutral beam source and method of operating
US8648315B1 (en) * 2012-08-14 2014-02-11 Transmute, Inc. Accelerator having a multi-channel micro-collimator

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0278480A2 (de) * 1987-02-10 1988-08-17 Semiconductor Energy Laboratory Co., Ltd. Verfahren zum Herstellen von Kohlenstoffschichten auf Plastikgegenständen durch chemische Gasphasenabscheidung im Mikrowellengebiet
EP0326405A2 (de) * 1988-01-27 1989-08-02 Semiconductor Energy Laboratory Co., Ltd. Anlage zur plasma-chemischen Dampfphasenreaktion

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4740267A (en) * 1987-02-20 1988-04-26 Hughes Aircraft Company Energy intensive surface reactions using a cluster beam

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0278480A2 (de) * 1987-02-10 1988-08-17 Semiconductor Energy Laboratory Co., Ltd. Verfahren zum Herstellen von Kohlenstoffschichten auf Plastikgegenständen durch chemische Gasphasenabscheidung im Mikrowellengebiet
EP0326405A2 (de) * 1988-01-27 1989-08-02 Semiconductor Energy Laboratory Co., Ltd. Anlage zur plasma-chemischen Dampfphasenreaktion

Non-Patent Citations (3)

* Cited by examiner, † Cited by third party
Title
1- 17869 A, C-593, May 8, 1989, Vol.13, No.190 *
63-83273 A, C-523, Aug. 24, 1988, Vol.12, No.312 *
JP Patents Abstracts of Japan: 1-180981 A, C-646, Oct. 20, 1989, Vol.13, No.466 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE4324325B4 (de) * 1992-07-21 2006-09-14 Unaxis Balzers Ag Verfahren zur Herstellung eines Bauelementes, optisches Bauelement, Verwendung desselben und Vakuumbehandlungsanlage zu seiner Herstellung
DE19731181C2 (de) * 1996-07-10 2002-03-14 Suzuki Motor Co Verfahren und Vorrichtung zum Beschichten von polymeren Grundwerkstoffen mit dünnen SiC-Schichten

Also Published As

Publication number Publication date
US5082685A (en) 1992-01-21

Similar Documents

Publication Publication Date Title
EP0021140B1 (de) Ionenquelle in einer Vakuumkammer und Verfahren zum Betrieb derselben
DE69812226T2 (de) Elektronenstrahl-angeregter Plasmaerzeuger
EP0625218B1 (de) Verfahren und vorrichtung zur oberflächenmodifikation durch physikalisch-chemische reaktionen von gasen oder dämpfen an oberflächen mit unterstützung von hochgeladenen ionen
DE69723127T2 (de) Quelle für schnelle Atomstrahlen
DE4023511A1 (de) Verfahren und vorrichtung zur durchfuehrung einer vakuumbehandlung
DE69218924T2 (de) System zur Erzeugung eines Plasmas mit hoher Dichte
DE69312544T2 (de) Plasmaerzeugungsverfahren und dieses Verfahren verwendende Plasmaerzeugungsvorrichtung
DE3416470A1 (de) Verfahren und vorrichtung zur herstellung von halbleitern im trockenverfahren unter verwendung einer fotochemischen reaktion
DE69301942T2 (de) Verfahren und Gerät zur Beseitigung von Oberflächenbeschädigungen in Halbleiter-Materialien mittels Plasma-Ätzen
DE3854541T2 (de) Verfahren und Vorrichtung zur Behandlung eines Materials durch Plasma.
DE69029480T2 (de) Plasma-Reaktionsgerät und Substrat-Behandlungsverfahren
EP0009558B1 (de) Verfahren und Vorrichtung zur Modifizierung einer Oberfläche mittels Plasma
DE69926356T2 (de) Das verfahren zur erzeugung einer physikalisch und chemisch aktiven umgebung durch einen plasmastrahl und plasmastrahl dazu
DE3921844C2 (de)
DE3923188C2 (de)
DE4308203C2 (de) Plasmaätzvorrichtung
DE68910378T2 (de) Anlage zur Erzeugung dünner Schichten.
DE19509284A1 (de) Vorrichtung zur Erzeugung eines ebenen Plasmas unter Verwendung variierender Magnetpole
DE212007000107U1 (de) Ringförmige Plasmakammer für Prozesse mit hohen Gasdurchflussraten
DE1436322B1 (de) Verfahren zum Herstellen feiner Poren in scheibenfoermigen Koerpern mittels energiereicher Strahlung
EP0279895A2 (de) Enrichtung zum Herstellen eines Plasmas und zur Behandlung von Substraten darin
DE69301889T2 (de) Trockenätzgerät von Typ mit zwei parallel verlaufende Flachelektroden
DE19505268A1 (de) Verfahren und Vorrichtung zur Behandlung von Substratoberflächen
DE69123528T2 (de) Gerät und Verfahren unter Verwendung eines durch Mikrowellen erzeugten Plasmas
DE10249350A1 (de) Vorrichtung und Verfahren zum anisotropen Plasmaätzen eines Substrates, insbesondere eines Siliziumkörpers

Legal Events

Date Code Title Description
OM8 Search report available as to paragraph 43 lit. 1 sentence 1 patent law
8128 New person/name/address of the agent

Representative=s name: HANSMANN, A., DIPL.-WIRTSCH.-ING. VOGESER, W., DIP

8139 Disposal/non-payment of the annual fee