DE3900526A1 - Ultraduenne membrane mit stuetzrand - Google Patents

Ultraduenne membrane mit stuetzrand

Info

Publication number
DE3900526A1
DE3900526A1 DE19893900526 DE3900526A DE3900526A1 DE 3900526 A1 DE3900526 A1 DE 3900526A1 DE 19893900526 DE19893900526 DE 19893900526 DE 3900526 A DE3900526 A DE 3900526A DE 3900526 A1 DE3900526 A1 DE 3900526A1
Authority
DE
Germany
Prior art keywords
membrane
etching
wafer
producing
membrane according
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE19893900526
Other languages
English (en)
Other versions
DE3900526C2 (de
Inventor
Bernd Dr Loechel
Hans-Ludwig Dr Huber
Evelyn Werner
Harald Derkow
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV
Original Assignee
Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV filed Critical Fraunhofer Gesellschaft zur Forderung der Angewandten Forschung eV
Priority to DE19893900526 priority Critical patent/DE3900526A1/de
Publication of DE3900526A1 publication Critical patent/DE3900526A1/de
Application granted granted Critical
Publication of DE3900526C2 publication Critical patent/DE3900526C2/de
Granted legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B33/00After-treatment of single crystals or homogeneous polycrystalline material with defined structure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Weting (AREA)
  • ing And Chemical Polishing (AREA)

Description

Die Erfindung betrifft eine ultradünne Membrane mit Stützrand aus Silizium sowie ein Verfahren und eine Vor­ richtung zu ihrer Herstellung.
Ultradünnde Membranen finden in der Röntgenlithographie, in der optischen Lithographie, in der Elektronen- oder Ionenprojektion als Maskensubstrat oder als Strahlrohr­ fenster insbesondere bei der Herstellung integrierter Schaltungen und mikromechanischer Strukturen Verwendung. Die erforderliche Membrandicke liegt je nach Anwendung zwischen 0,5 und einigen Mikrometern. Solche Membranen, die von Stützrändern aus Silizium getragen werden, sind aus einigen Veröffentlichungen bekannt (z.B. EP- A1-02 44 496 oder "Fabrication of Ultra-Thin, High Quali­ ty, Single-Crystal Silicon Membranes", in: IBM Technical Disclosure Bulletin Vol.22, No.5 (1979), S.2080 f). Sie werden aus Silizium mit anisotropen Ätztechniken herge­ stellt, die mit chemischen oder physikalischen Methoden präzise gestoppt werden können. Weil die Ätzgeschwindig­ keit in Silizium vom Borgehalt abhängt, kann der Ätzvor­ gang beispielsweise durch eine sehr hohe Bordotierung ge­ stoppt werden. Die durch die unterschiedlichen kovalenten Radien von Silizium und Bor hervorgerufenen Zugspannungen können durch gleichzeitige Dotierung mit Germanium ver­ mindert werden ("Stress Compensated Si-Membranes for x- Ray Masks" in "Microcircuit Engineering 84" Konferenz in Berlin, September 1984, Bericht, Academic Press, London (1984), S.309-316).
Um über die gesamte Kristalloberfläche ein gleichmäßiges Ergebnis bei der Ätzung zu erhalten, wird ein Ätzverfah­ ren beschreiben bei welchen die zu ätzenden Proben in der Lösung auf- und abbewegt werden. ("Preparation of thin windows in silicon masks for x-ray lithography", in "Journal of Applied Physics", Vol 46, 1975, S. 4080- 4082). Die Anwendung dieser Methode führt zu einem diskon­ tinuierlichen Übergang zwischen Membrane und Stützrand (bei Wafern in 100 Orientierung 57,4°) und eignet sich nicht zur Automatisierung und Herstellung größerer Stück­ zahlen.
Bei allen bekannten Verfahren erfolgt der Materialabtrag mit anisotropen Ätzverfahren. Ein charakteristisches Kennzeichen anisotroper Ätzverfahren sind die scharfen Ätzstufen und -kanten, die durch den großen Unterschied der Ätzgeschwindigkeiten in verschiedene Kristallrich­ tungen zustande kommen. Bei Membranen, die mit anisotro­ pen Ätzverfahren hergestellt werden, bilden sich deshalb diskontinuierliche Übergänge aus, die zu hohen Kerbspan­ nungen und damit zu großer Bruchanfälligkeit führen.
Der Erfindung liegt die Aufgabe zugrunde, durch Wahl ei­ ner geeigneten Geometrie der Membrane die Bruchanfällig­ keit zu vermindern. Weiter ist es Aufgabe der Erfindung ein Verfahren und eine Vorrichtung zur Herstellung der Membrane anzugeben.
Diese Aufgabe wird durch eine Membrane mit Stützrand ge­ löst, wie sie in den Patentansprüchen 1 bis 4 gekennzeich­ net ist. In den Ansprüchen 5 bis 10 sind ein Verfahren und eine Vorrichtung zur Herstellung einer solchen Mem­ brane beschrieben.
Der mit der Erfindung erzielte Vorteil besteht darin, daß durch den Übergangsbereich ansteigender Dicke die Membran elastisch an den Stützring gekoppelt und geringeren Kerb­ spannungen ausgesetzt ist. Die Bruchgefahr ist bei sol­ chen Membranen erheblich vermindert.
Bei der Ausgestaltung nach Anspruch 2 ist die Orientie­ rung des Wafers so gewählt, daß die höchste Ätzrate der anisotropen Ätzung in der Abtragsrichtung liegt, wodurch das bei der Vordünnung erhaltene Profil weitgehend erhal­ ten bleibt.
Die kreisförmige Membrane nach Anspruch 3 eignet sich besonders für Strahlrohrfenster und für die Anwendung als Drucksensor oder Schallwandler. In Kombination mit den Ansprüchen 2, 7 und 11 zeichnet sie sich durch das ein­ fachste Herstellungsverfahren aus. Rechteckige Membranen nach Anspruch 4 tragen den Anforderungen beim Einsatz zur Herstellung integrierter Schaltungen und mikromechani­ scher Strukturen Rechnung, insbesondere wenn die Membrane als Maskensubstrat für Chips Anwendung findet.
Erfindungsgemäß kann die Membrane nach den Ansprüchen 6 bis 10 mit Verfahrensschritten hergestellt werden, die in der Mikroelektronik und Mikrostrukturtechnik üblich sind. Durch die Rotationsbewegung der Membran während der iso­ tropen Ätzung nach Anspruch 6 wird im Übergangsbereich zwischen Membran und Stützrand ein Strömungsprofil der Ätzflüssigkeit erzeugt, das die Ausbildung eines konti­ nuierlichen Übergangs unterstützt.
Im Gegensatz dazu führt die Auf- und Abbewegung der Probe, die in der Veröffentlichung "Preparation of thin windows ..." (1975) angewendet wird, zur Ausbildung steiler Kan­ ten.
Darüber hinaus eignet sich die Drehbewegung der Probe besonders gut zur Automatisierung des Ätzvorganges.
Das Verfahren wird vorteilhaft mit Hilfe einer Apparatur durchgeführt, die in Anspruch 11 gekennzeichnet ist. die Verwendung der erfindungsgemäßen Ätzdose gestaltet das Verfahren besonders einfach, da mehrere Arbeitsschritte entfallen (z.B. Lithographieprozeß, Aufbringen und Weg­ ätzen von Schutzschichten).
Zwei Ausführungsbeispiele werden nachfolgend anhand der Herstellungsverfahren unter Benutzung der beigefügten Zeichnungen näher erläutert. Die Zeichnungen sind zur Verdeutlichung nicht maßstabsgetreu.
Es zeigen
Fig. 1 Schnitt durch eine kreisförmige Membrane
a) bis auf Reststärke gedünnt,
b) fertig geätzt in schematischer Darstellung
Fig. 2 Schnitt durch eine rechteckige Membrane
a) bis auf Reststärke gedünnt,
b) fertig geätzt in schematischer Darstellung
Fig. 3 Schematische Darstellung der Apparatur zur Durchführung des Verfahrens
a) Aufriß
b) Grundriß
Fig. 4 Seitenansicht und Aufsicht der Ätzdose
a) Dosenrückteil
b) Dosendeckel
Fig. 5 Schematische Darstellung des Herstellungsverfahrens einer kreisförmigen Membrane
Fig. 6 Schematische Darstellung des Herstellungsverfahrens einer rechteckigen Mem­ brane
Fig. 7 Rechteckige Membrane, Aufsicht
Profilformen von erfindungsgemäßen Membranen sind in den Fig. 1 (kreisförmige Membran) und 2 (rechteckige Mem­ bran) dargestellt. Die Ausgangssubstanz des Stützringes bildet jeweils ein Siliziumwafer 1. Die Membrane besteht aus einer hochbordotierten Schicht 2.
Im Gegensatz zu den bekannten Membranen mit Stützrand, die einen diskontinuierlichen Übergang zwischen der Mem­ brane und dem Stützrand aufweisen, werden bei der Erfin­ dung die Membran 2 und der Stützrand 11 durch einen lang­ sam ansteigenden Übergangsbereich 12 verbunden. Die Fig. 1a und 2a zeigen Membrane nach dem isotropen Ätzvorgang, die Fig. 1b und 2b nach der anisotropen Ätzung. Die Ab­ bildungen zeigen Membrane, deren Oberflächen noch mit einer Schutzschicht 3 überzogen sind. Die charakteristi­ sche Form des Übergangbereichs ist jeweils durch den speziellen Ätzvorgang bestimmt. Das Profil der kreisflä­ chigen Membrane weist eine Stufe S, das der recheckigen zwei Stufen S 1 und S 2 auf. Die Breite des Übergangberei­ ches 12 beträgt in allen Fällen einige tausend Membran­ stärken.
Eine Vorrichtung zur Herstellung einer erfindungsgemäßen Membrane ist in den Fig. 3 und 4 dargestellt. Die Vor­ richtung besteht aus einer Ätzwanne 23, in welcher zwei Probenhalter jeweils um eine horizontale Achse 20 dreh­ bar gelagert sind. Beide Probenhalter sind als Ätzdosen ausgebildet und bestehen aus je einem Rückteil und einem Deckel, die in Fig. 4 dargestellt sind. Das Rückteil (Fig. 4a) ist mit einem Paßsitz 5 zur Aufnahme des Wa­ fers 1 ausgestattet. Der Deckel (Fig. 4b) besitzt in der Mitte eine kreisförmige bzw. eine rechteckige Aussparung 6, die einen Teil der Waferoberlfäche der Ätze aussetzt. Teflonüberzogene Vitondichtringe 7, 8 verhindern das Eindringen der Ätzlösung in die Dose, wobei der Ring 7 in der Nut 32 den Dosendeckel gegen das Rückteil abdich­ tet und der Ring 8 in der Nut 33 die Deckelaussparung gegen die Oberfläche des Wafers. Im äußeren Bereich von Rückteil und Deckel sind Bohrungen 31 zum Verschrauben angebracht.
Die Achse 20, die in das Sackgewinde 13 des Rückteils eingeschraubt ist, verbindet die Ätzdose mit einem Ge­ gengewicht 21. Eine auf einem Stativ 29 gehalterte Lage­ rung 22 sorgt für eine leichtgängige Drehbarkeit der Probenhalter. Parallel zu dem Gegengewicht 21, jedoch außerhalb der Ätzwanne ist eine Scheibe 25 angeordnet, die durch einen Elektromotor 24 gedreht werden kann. Sowohl in der Scheibe 25 als auch im Gegengewicht 21 sind stabförmige Magneten 26 eingearbeitet, die die Rota­ tionsbewegung der Scheibe 25 auf das Gegengewicht 21 und damit auf den Probenhalter 30 übertragen. Durch diese magnetische Kraftübertragung wird eine mechanische Durch­ führung durch die Ätzwanne vermieden. Die rotierenden Anordnungen, die Stative, die Ätzdosen und die Ätzwanne sind aus Materialien gefertigt, die gegen das Ätzmedium resistent sind (z.B. Polyvenildifluorid oder Polykarbo­ nat). Da die Ätzung mit einer exothermen Reaktion ver­ bunden ist, wird die Ätzlösung mit Hilfe einer vergolde­ ten Kühlschlange 27 auf 25°C thermatisiert. Für eine konstante räumliche Temperaturverteilung in der Lösung sorgt eine magnetische Rühreinrichtung 28.
Die kreisförmige Membrane ist nach den Ansprüchen 5 und 6 mit Hilfe eines zweistufigen Ätzverfahrens herstell­ bar, dessen Ablauf in Fig. 5 schematisch dargestellt ist. Als Ausgangsmaterial in Fig. 5A dient ein einseitig polierter Siliziumwafer 1 in (100) Orientierung, auf dessen polierter Vorderseite V aus der Gasphase eine Schicht 2 hochbordotiertes Silizium - mit Germanium zur Spannungskompensation - abgeschieden wird Fig. 5B (Epi­ taxieschicht). Die Bordotierung beträgt ca. 1,3 × 1020 Atome pro cm3, die Germaniumdotierung etwa 1,3 × 1021 Atome pro cm3. Die Schichtdicke entspricht der späteren Membranstärke und beträgt je nach Anwendung zwischen 0,5 und einigen Mikrometern. Anschließend wird die gesamte Waferoberfläche mit Hilfe des Low Pressure Chemical Va­ por Deposition-Verfahrens mit einer 50 bis 200 nm dicken Schutzschicht 3 aus Siliziumnitrid bedeckt, die bei an­ deren Varianten des Verfahrens durch eine Plasmanitrid­ schicht mit einer Dicke 500 bis 800 nm oder durch eine galvanisch verstärkte Goldschicht mit einer Dicke von 500 bis 1000 nm ersetzt werden kann. Der Wafer wird so in den Paßsitz 5 des Ätzdosenrückteils (Fig. 4a) gelegt, daß die Aussparung 6 des Dosendeckels (Fig. 4b) den Teil der Waferrückseite 14 der Ätzlösung aussetzt, der der späteren Membranform entspricht. Für verschiedene Anwen­ dungsfälle können aus vier Zoll-Wafern Membranen bis zu einem Durchmesser von 70 mm hergestellt werden. Im Be­ reich der Aussparung 6 wird die Schutzschicht 3 mit 50%iger Flußsäure vollständig entfernt, bevor die Ätz­ dose in eine Ätzmischung für isotrope Ätzung, bestehend aus 65%iger HNO3, 50%iger HF und 100%iger Essigsäure gegeben wird. Während des Ätzvorgangs (Fig. 5E) rotiert die Ätzdose mit etwa 60 Umdrehungen pro Minute um die eigene Achse.
Während der isotropen Ätzung erzeugen die Strömungsver­ hältnisse bei der Rotation der Dose ein Ätzprofil, das in Fig. 1a schematisch dargestellt ist. Die Stufe S ent­ steht durch Unterätzen des Dichtringes 8. Der Übergangs­ bereich 12 ist zwischen 2 und 10 mm breit. Bei einer Restdicke 4 des Wafers von 10 bis 100 µm muß der iso­ trope Ätzprozeß abgebrochen werden, da er bezüglich der Epitaxieschicht 2 keine Selektivität zeigt und deshalb die Membranschicht durchätzen würde.
Für die zweite, anisotrope Ätzstufe wird der vorgedünnte Wafer aus der Ätzdose entnommen und einer Ätzmischung aus Ethylendiamin-Brenzkatechin-Wasser ausgesetzt (Fig. 15F). Da die Kristallorientierung des Siliziumwafers so gewählt ist, daß die verwendete Ätzmischung in der bis­ herigen Abtragsrichtung die höchste Ätzrate aufweist, bleibt das bei der Dünnung erhaltene Profil weitgehend erhalten und wandert bis zur Membrane. Wenn die hochbor­ dotierte Schicht erreicht ist, stoppt der anisotrope Ätzprozeß selbständig und als Ergebnis entsteht ein Ätz­ profil, das in Fig. 1b schematisch dargestellt ist. Zum Abschluß werden die Schutzschichten 3 mit Hilfe konzen­ trierter Säuren entfernt (Fig. 5G).
Die Herstellung einer rechteckigen Membrane nach An­ spruch 4 ist in Fig. 6 schematisch dargestellt und folgt weitgehend dem oben beschriebenen Verfahren. Die Schutz­ schicht, die bei der Herstellung einer rechteckigen Mem­ brane eine Dicke von ca. 200 nm aufweist, wird auf der Waferrückseite mit Hilfe eines Trockenätzprozesses in der gewünschten Membranform 14 vollständig entfernt (Fig. 6D). Zur isotropen Ätzdünnung (Fig. 6E) wird der Wafer ebenfalls in eine Dose mit kreisförmiger Deckel­ aussparung gegeben. Der Abstand zwischen dem durch den Deckel 9 abgedeckten Stützrand 11 und dem Membranbereich 10 muß mehr als 5 mm betragen (siehe Fig. 7). Die iso­ trope Ätzung erfolgt wie oben beschrieben, allerdings mit reduzierter Rotationsgeschwindigkeit von etwa 40 Umdrehungen pro Minute. Neben dem rechteckigen Membran­ bereich wird ein Teil 15 der durch die Nitridschicht ge­ schützten Oberfläche der Ätze ausgesetzt. Die Nitrid­ schicht verzögert den Einsatz des Ätzvorgangs, so daß der geschützte Bereich schwächer gedünnt wird, wie die schematische Darstellung in Fig. 6E bzw. Fig. 2a zeigt.
Eine Aufsicht auf die rechteckige Membrane 6 mit Stütz­ rand 11 ist in Fig. 7 abgebildet. Der Vorsprung der Dün­ nung im ungeschützten Membranbereich 10 hängt linear von der Dicke der Schutzschicht ab und beträgt etwa 150 µm pro 100 nm Schutzschicht.
Die Fertigstellung der Membrane erfolgt wie in dem oben angegebenen Verfahren, das resultierende Ätzprofil ist in Fig. 2b schematisch dargestellt.
Die Stufe S 2 wird durch die verzögerte Ätzung im Be­ reich der Schutzschicht hervorgerufen, während die Stufe S 1 durch Unterätzen des Dichtringes 8 entsteht.
Zur Herstellung einer rechteckigen Membrane kann auch eine Ätzdose mit rechteckiger Aussparung herangezogen werden.
Durch das erfindungsgemäße Verfahren wird die Herstel­ lung ultradünner Membrane wesentlich vereinfacht. Mit Hilfe der beschriebenen Apparatur können pro Tag ca. 10 Membranen hergestellt werden.
In einer hier nicht näher beschriebenen Ausgestaltung des Verfahrens wird anstelle der Dose eine etwa 0,5 µm dicke Schutzschicht aus galvanisch verstärktem Gold benutzt. Dazu wird die Membranstruktur durch einen Li­ thographieprozeß auf der Waferrückseite definiert.

Claims (12)

1. Ultradünne Membrane mit Stützrand, dadurch gekenn­ zeichnet, daß die Membrane (10) und der Stützrand (11) durch einen stabilisierenden Übergangsbereich (12) verbunden sind, der membranseitig flach an­ steigt, in seiner Schichtdicke stetig zunimmt und unter einem steilen Winkel in den Stützrand ein­ mündet, und eine Breite von einigen tausend Mem­ branstärken aufweist.
2. Membrane nach Anspruch 1, dadurch gekennzeichnet, daß der Stützrand aus einem Silizium-Wafer mit der Orientierung (100) besteht und daß der Übergangs­ bereich von der Membrane aus keilförmig mit einem Winkel α von etwa 10 bis 20 Grad ansteigt.
3. Membrane nach Anspruch 1, oder 2, dadurch gekenn­ zeichnet, daß die Membrane kreisförmig ausgebildet ist.
4. Membrane nach Anspruch 1, oder 2, dadurch gekenn­ zeichnet, daß die Membrane rechteckig ausgebildet ist.
5. Verfahren zur Herstellung einer Membrane nach ei­ nem der Ansprüche 1 bis 4, dadurch gekennzeichnet, daß der Übergangsbereich zwischen Membrane und Stützrand durch zwei Ätzungen, nämlich durch eine isotrope und anschließend durch eine anisotrope Ätzung geformt wird.
6. Verfahren zur Herstellung einer Membrane nach ei­ nem der Ansprüche 1 bis 5, dadurch gekennzeichnet, daß die Probe bei der isotropen Ätzung in eine Rotationsbewegung versetzt wird.
7. Verfahren zur Herstellung einer Membrane nach einem der Ansprüche 1 bis 5, gekennzeichnet durch die folgenden Verfahrensschritte:
  • 7.1 auf die polierte Vorderseite (V) eines einseitig polierten Silizium-Wafers (1) wird ganzflächig eine hoch-bor- und germaniumdotierte Schicht (2) in der gewünschten Membranstärke aus der Gasphase abgeschieden (B),
  • 7.2 der Wafer wird beidseitig zum Schutze gegen die anisotrope Ätzlösung mit einer Siliziumnitrit- Schutzschicht (3) überzogen (C),
  • 7.3 zum Schutz gegen die isotrope Ätzlösung wird die Wafer-Oberfläche mit einer weiteren Abdeckung versehen, wobei die Wafer-Rückseite im Bereich (14) der Membrane frei bleibt,
  • 7.4 auf der Waferrückseite wird im Membranbereich (14) die Schutzschicht entfernt (D),
  • 7.5 der Wafer wird von der Rückseite her durch isotropes Ätzen, bis auf eine Reststärke (4) von etwa 10 bis 100 µm gedünnt (E),
  • 7.6 in einem anschließenden anisotropen Ätzschritt erfolgt die Dünnung auf Membranstärke (F),
  • 7.7 schließlich wird die schützende Deckschicht entfernt (G).
8. Verfahren zur Herstellung einer Membrane nach An­ spruch 6, dadurch gekennzeichnet, daß die Wafer- Oberfläche zum Schutze gegen die isotrope Ätzlö­ sung mechanisch, zum Beispiel mit Hilfe einer Ätzdose, abgedeckt wird, wobei auf der Wafer- Rückseite der Membranbereich frei bleibt.
9. Verfahren zur Herstellung einer Membrane nach An­ spruch 6, dadurch gekennzeichnet, daß die Wafer- Oberfläche zum Schutze gegen die isotrope Ätzlö­ sung mit einer Goldschicht bedampft wird, die galvanisch auf eine Dicke von etwa 0,5 µm ver­ stärkt wird, wobei der Membranbereich auf der Wafer-Rückseite mit Hilfe eines Lithographiepro­ zesses mit einer Lackschicht abgedeckt wird.
10. Verfahren zur Herstellung einer Membrane nach An­ spruch 6, dadurch gekennzeichnet, daß die Wafer­ oberfläche zum Schutze gegen die isotrope Ätzlö­ sung mit einer Siliziumnitrid-Schicht der Dicke 50 bis 200 nm oder mit einer Plasmanitridschicht der Dicke 500 bis 800 nm versehen wird, und der Membranbereich auf der Wafer-Rückseite mit Hilfe eines Lithographieprozesses definiert wird.
11. Verfahren zur Herstellung einer Membrane nach An­ spruch 6, dadurch gekennzeichnet, daß als Mem­ branmaterial Siliziumkarbid oder Siliziumnitrid verwendet wird.
12. Vorrichtung zur Durchführung des Verfahrens zur Herstellung einer Membrane nach einem der Ansprü­ che 1 bis 10, bestehend aus einer Ätzwanne, we­ nigstens einer beweglich angebrachten Probenhalte­ rung, einem Bewegungsmechanismus zur Bewegung des Probenhalters, und einem Kühlelement, dadurch ge­ kennzeichnet, daß die Probenhalterung als Ätzdose ausgebildet ist, die aus einem Rückteil (1 a) und einem Deckel (1 b) besteht, wobei das Rückteil ei­ nen Paßsitz (5) zur Aufnahme des Wafers und ein Anschlußgewinde (13) aufweist, der Deckel (1 b) mit einer Aussparung (6) versehen ist, und zwischen Rückteil und Deckel und zwischen der Oberfläche der Wafer-Rückseite und der Deckelaussparung (6) Dichtringe (7 und 8) aus teflonbeschichteten Viton angebracht sind, und daß die Ätzdose über das An­ schlußgewinde (13) und eine Achse (20) mit einem scheibenförmigen Ausgleichsgewicht (21) aus Teflon verbunden und mittels einer Führung (22) drehbar in der Ätzwanne (23) gelagert ist, und daß paral­ lel zum Ausgleichsgewicht (21) jedoch außerhalb der Ätzwanne, eine durch einen Elektromotor (24) getriebene Scheibe (25) angebracht ist, die ebenso wie das Ausgleichsgewicht parallel zur Scheiben­ oberfläche einen stabförmigen Magneten (26) ent­ hält, daß das Kühlelement aus einer vergoldeten Kühlschlange (27) besteht und daß in der Ätzwanne eine magnetische Rühreinrichtung untergebracht ist.
DE19893900526 1988-01-14 1989-01-10 Ultraduenne membrane mit stuetzrand Granted DE3900526A1 (de)

Priority Applications (1)

Application Number Priority Date Filing Date Title
DE19893900526 DE3900526A1 (de) 1988-01-14 1989-01-10 Ultraduenne membrane mit stuetzrand

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE3800901 1988-01-14
DE19893900526 DE3900526A1 (de) 1988-01-14 1989-01-10 Ultraduenne membrane mit stuetzrand

Publications (2)

Publication Number Publication Date
DE3900526A1 true DE3900526A1 (de) 1989-07-27
DE3900526C2 DE3900526C2 (de) 1990-10-25

Family

ID=25863958

Family Applications (1)

Application Number Title Priority Date Filing Date
DE19893900526 Granted DE3900526A1 (de) 1988-01-14 1989-01-10 Ultraduenne membrane mit stuetzrand

Country Status (1)

Country Link
DE (1) DE3900526A1 (de)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0634973A1 (de) * 1992-12-31 1995-01-25 AT&T Corp. Lithographische maske, die ein hochfestes membran aufweist
FR2769640A1 (fr) * 1997-10-15 1999-04-16 Sgs Thomson Microelectronics Amelioration de la resistance mecanique d'une tranche de silicium monocristallin
FR2771108A1 (fr) * 1997-11-18 1999-05-21 Sgs Thomson Microelectronics Tranche de silicium pour composants a jonction sur les deux faces
WO1999049365A1 (de) * 1998-03-25 1999-09-30 Institut für Mikroelektronik Stuttgart Stiftung des öffentlichen Rechts Verfahren zur herstellung grossflächiger membranmasken
US6365055B1 (en) * 1998-05-30 2002-04-02 Robert Bosch Gmbh Process for producing a sensor membrane substrate
EP2851749A1 (de) * 2013-09-23 2015-03-25 National Synchrotron Radiation Research Center Röntgenstrahlmaskenstruktur und Verfahren zu ihrer Herstellung

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
US-Z.: Journal of Applied Physics, Vol. 46, 1975, S. 4080-4082 *

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0634973A1 (de) * 1992-12-31 1995-01-25 AT&T Corp. Lithographische maske, die ein hochfestes membran aufweist
EP0634973A4 (de) * 1992-12-31 1995-06-14 American Telephone & Telegraph Lithographische maske, die ein hochfestes membran aufweist.
FR2769640A1 (fr) * 1997-10-15 1999-04-16 Sgs Thomson Microelectronics Amelioration de la resistance mecanique d'une tranche de silicium monocristallin
EP0911431A1 (de) * 1997-10-15 1999-04-28 STMicroelectronics SA Einkristalline Siliciumscheibe mit einer erhöhten mechanischen Festigkeit
US6580151B2 (en) 1997-10-15 2003-06-17 Stmicroelectronics S.A. Mechanical resistance of a single-crystal silicon wafer
FR2771108A1 (fr) * 1997-11-18 1999-05-21 Sgs Thomson Microelectronics Tranche de silicium pour composants a jonction sur les deux faces
WO1999049365A1 (de) * 1998-03-25 1999-09-30 Institut für Mikroelektronik Stuttgart Stiftung des öffentlichen Rechts Verfahren zur herstellung grossflächiger membranmasken
US6455429B1 (en) 1998-03-25 2002-09-24 Institut Fur Mikroelektronik Stuttgart Method of producing large-area membrane masks
US6365055B1 (en) * 1998-05-30 2002-04-02 Robert Bosch Gmbh Process for producing a sensor membrane substrate
EP2851749A1 (de) * 2013-09-23 2015-03-25 National Synchrotron Radiation Research Center Röntgenstrahlmaskenstruktur und Verfahren zu ihrer Herstellung
US9152036B2 (en) 2013-09-23 2015-10-06 National Synchrotron Radiation Research Center X-ray mask structure and method for preparing the same

Also Published As

Publication number Publication date
DE3900526C2 (de) 1990-10-25

Similar Documents

Publication Publication Date Title
DE68911621T2 (de) Verfahren zum Herstellen einer Einrichtung.
DE68903950T2 (de) Verfahren fuer die herstellung ultrafeiner siliziumspitzen fuer afm/stm-profilometrie.
DE69106240T2 (de) Mikropumpe und Verfahren zur Herstellung einer Mikropumpe.
EP2748107B1 (de) Verfahren zur herstellung und ausrichtung von nanowires und anwendungen eines solchen verfahrens
EP0367750B1 (de) Verfahren zur Herstellung einer Siliziummenbran mit kontrollierter Spannung
DE69730667T2 (de) Verfahren zur Herstellung eines Durchgangslochs, Gebrauch dieses Verfahrens zur Herstellung eines Slikonsubstrates mit einem solchen Durchgangsloch oder eine Vorrichtung mit diesem Substrat, Verfahren zur Herstellung eines Tintenstrahl-Druckkopfes und Gebrauch dieses Verfahrens zur Herstellung eines Tintenstrahldruckkopfes
DE112014001600B4 (de) Herstellung von Mikrofluidchips, die Elektroden auf einer Höhe mit Mikrokanalwänden aufweisen
DE4338969C2 (de) Verfahren zur Herstellung anorganischer diffraktiver Elemente und Verwendung derselben
DE2333787A1 (de) Maskentraegersubstrat fuer weiche roentgenstrahlen
EP0310183B1 (de) Verfahren zur Herstellung eines Maskenträgers aus SIC für Röntgenstrahllithographie-Masken
DE102006007431B4 (de) Durch Halbleitersilizium-Verfahrenstechnik gebildeter Probenträger sowie Verfahren zur Herstellung
DE2855080A1 (de) Verfahren zur herstellung von duesenplaettchen fuer tintenstrahldrucker
DE3900526C2 (de)
DE112014000462T5 (de) Herstellung einer Mikrofluidchip-Packung oder -Baugruppe mit trennbaren Chips
EP0372645B1 (de) Verfahren zur Herstellung eines Maskenträgers aus SiC für Strahlungslithographie-Masken
DE19934174C1 (de) Verfahren zur Herstellung einer Torsionsfeder
DE102010062009B4 (de) Verfahren zum Herstellen von Schrägflächen in einem Substrat und Wafer mit Schrägfläche
DE4212077A1 (de) Piezoelektrisch verstellbares Ventil und Verfahren zu ihrer Herstellung
DE4314301C1 (de) Abtastvorrichtung zur Untersuchung von Oberflächenstrukturen mit Auflösung im submicron-Bereich und Verfahren zu deren Herstellung
DE102014211555A1 (de) Verfahren zum Ausbilden einer Kavität und Bauelement mit einer Kavität
EP0486873B1 (de) Elektrochemisches Verfahren zum anisotropen Ätzen von Silizium
WO1999034421A1 (de) Verfahren zur herstellung einer porösen schicht mit hilfe eines elektrochemischen ätzprozesses
DE19509903A1 (de) Verfahren zur Herstellung einer Abtastvorrichtung zur kombinierten Untersuchung von verschiedenen Oberflächeneigenschaften mit Auflösung im Nanometerbereich
EP1588383A2 (de) SONDE FüR EIN OPTISCHES NAHFELDMIKROSKOP UND VERFAHREN ZU DEREN HERSTELLUNG
EP0461543A2 (de) Verfahren und Vorrichtung zum Beschichten von Substraten mit geschmolzenem Material

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
D2 Grant after examination
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee