DE1549388C - Vorrichtung zur automatischen Berechnung und Anzeige des statistischen Fehlers - Google Patents

Vorrichtung zur automatischen Berechnung und Anzeige des statistischen Fehlers

Info

Publication number
DE1549388C
DE1549388C DE19671549388 DE1549388A DE1549388C DE 1549388 C DE1549388 C DE 1549388C DE 19671549388 DE19671549388 DE 19671549388 DE 1549388 A DE1549388 A DE 1549388A DE 1549388 C DE1549388 C DE 1549388C
Authority
DE
Germany
Prior art keywords
counter
count
error
output
register
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired
Application number
DE19671549388
Other languages
English (en)
Other versions
DE1549388B1 (de
Inventor
Roy Edward San Diego Calif. Nather (V.StA.)
Original Assignee
Beckman Instruments, Inc., Fullerton, Calif. (V.StA.)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beckman Instruments, Inc., Fullerton, Calif. (V.StA.) filed Critical Beckman Instruments, Inc., Fullerton, Calif. (V.StA.)
Publication of DE1549388B1 publication Critical patent/DE1549388B1/de
Application granted granted Critical
Publication of DE1549388C publication Critical patent/DE1549388C/de
Expired legal-status Critical Current

Links

Description

Die Erfindung bezieht sich auf eine Vorrichtung zur automatischen Berechnung und Anzeige des statistischen Fehlers einer Meßreihe von mit zufälligen Fehlern behafteten Messungen, wobei die Anzahl der Messungen in einem mehrstelligen Zählwertregister aufsummiert wird und der statistische Fehler nach vorgegebenen Fehlerbereichen eingestuft werden soll, von denen jeder unabhängig von der Amplitude der gemessenen Größe einem entsprechenden vorgegebenen Bereich der Anzahl der Messungen in der Weise zugeordnet ist, daß der jeweilige Wert des Fehlers der Quadratwurzel aus der Anzahl der Messungen umgekehrt proportional ist.
Bevorzugt soll die Erfindung auf dem Gebiet der Zählung von radioaktiven Ereignissen Anwendung finden. Bei bekannten Strahlungszähleinrichtungen werden häufig die akkumulierten Zählimpulse, die z. B. Strahlungsereignissen entsprechen, durch ein Zeitintervall dividiert, welches z. B. durch Taktimpulse dargestellt werden kann, so daß sich als Ausgangswerte Zählimpulse pro Minute ergeben. Damit erhält man eine direkte Angabe der Strahlungsintensität. Bei der üblichen Art, diese Berechnung durchzuführen, gehen die absolute Anzahl der Zählimpulse und damit die Information über die statistische Zuverlässigkeit der Messung verloren, da die Zuverlässigkeit der Messung von der Gesamtzahl der insgesamt gezählten radioaktiven Ereignisse ist. Bei bekannten Geräten wurde daher außer den Zählimpulsen pro Minute auch die nicht weiter verarbeitete absolute Anzahl der gezählten Impulse ausgegeben, von der dann ein Maß für die statistische Zuverlässigkeit des Ergebnisses berechnet werden konnte. Ein häufig verwendetes Maß für diese Zuverlässigkeit ist der durch die folgende Gleichung definierte statistische Fehler
E =
wobei N die absolute Anzahl der gezählten Impulse und E — im folgenden auch 2-Sigma genannt — den statistischen Fehler als Bruchteil darstellt. Der Wert E kann auch bis auf den Faktor 2 angesehen werden als mittlerer Fehler des arithmetischen Mittelwertes einer mehrmals gemessenen Größe dividiert durch den mittleren Fehler einer Beobachtung (vgl. Kohl rausch, »PraktischePhysik«, Bd. 1,19. Auflage, 1951, S. 18).
Bei einem bekannten Gerät zur statistischen Auswertung (ATM Archiv für technisches Messen, J 084/4, Dezember 1963, S. 285 bis 288) werden gewisse Fehlerwerte automatisch berechnet, und zwar handelt es sich dabei insbesondere um den mittleren Fehler einer Beobachtung, der dort als Standardabweichung bezeichnet wird. Zur Berechnung dieser Standardabweichung wird ermittelt, wie oft der Wert der zu messenden Größe in jede einer Vielzahl von Klassen fällt, und zwar wird diese Klassenhäufigkeit durch Umsetzung der erhaltenen Meßwerte in eine der Amplitude des Meßwertes jeweils proportionale Anzahl von Impulsen, durch Aufsummierung dieser Impulse in einer Zähleinrichtung, durch Klassifizierung der so ermittelten Zählwerte nach den vorgegebenen Klassenbereichen und durch Zählen in jedem der Klassenbereiche ermittelt. Aus dieser Klassenhäufigkeit wird dann mit Hilfe eines kleinen Hilfsrechners die Standardabweichung berechnet. Diese Berechnung erfolgt also nicht laufend, sondern erst nachdem die Messungen abgeschlossen sind. In die Rechnung gehen außer der Anzahl der Messungen auch die Amplituden der jeweils erhaltenen Meßwerte ein.
Die Aufgabe der vorliegenden Erfindung ist es, eine Vorrichtung der eingangs genannten Art zu schaffen, die verhältnismäßig einfach aufgebaut ist und bei der bereits während der Aufsummierung der Anzahl der Messungen eine Darstellung des Fehlerbereichs in der Weise erfolgt, daß bei fortschreitender Anzahl von Messungen diese Darstellung ohne eine vollständige Neuberechnung auf den neuesten Stand gebracht wird.
Diese Aufgabe wird erfindungsgemäß dadurch gelöst, daß eine logische Torschaltung mit Stellenausgängen des Zählwertregisters verbunden ist, die so gewählt sind, daß sie das Erreichen der genannten vorgegebenen Bereiche der Anzahl der Messungen anzeigen, und daß die logische Torschaltung an einen Zähler angeschlossen ist, dessen Ausgänge mit einer Dekodiervorrichtung verbunden sind, deren Ausgänge zu der logischen Torschaltung zurückführen und die logische Torschaltung in solcher Weise steuern, daß die logische Torschaltung nur jedesmal dann einen Ausgangsimpuls von einem der genannten Stellenausgänge des Zählwertregisters zu dem Zähler durchläßt, wenn der Zählstand im Zählwertregister die Grenze eines der vorgegebenen Bereiche erreicht, so daß der Zähler bei Erreichen jedes der vorgegebenen Bereiche um eins weitergeschaltet wird und sein Zählstand eine Darstellung des jeweiligen Fehlerbereiches ist, und daß mit dem Zähler eine Ausgangsdekodierschältung zur Wiedergabe des Fehlerbereiches nach Maßgabe des Zählstandes des Zählers verbunden ist. ■
Bei der erfindungsgemäßen Vorrichtung weist der Zähler in jedem Zeitpunkt der. Aufsummierung der Anzahl der Messungen eine Darstellung des jeweiligen Fehlerbereiches auf. Bei Fortschreiten der Aufsummierung der Anzahl der Messungen wird diese Darstellung des Fehlerbereiches in dem Zähler dadurch auf den neuesten Stand gebracht, daß der Zähler durch die zu ihm gelangenden Impulse weitergeschaltet wird.
Ausführungsbeispiele der Erfindung werden nachstehend im Zusammenhang mit den Zeichnungen näher erläutert. In den Zeichnungen zeigt
F i g. 1 ein Blockdiagramm einer erfindungsgemäßen Vorrichtung zur automatischen Berechnung und Anzeige des statistischen Fehlers,
F i g. 2 ein Blockdiagramm einer Schaltungsanordnung zur Umsetzung der in dem Zähler gespeicherten Darstellung des Fehlerbereiches in eine Prozent-Angabe,
Fig. 3A bis 3E jeweils einen Teil eines größere Einzelheiten aufweisenden logischen Schaltdiagramms einer bevorzugten erfindungsgemäßen Ausführungsform, und zwar im einzelnen
Fig. 3A den Ε-Zähler mit Dekodier- und Steuerschaltungen,
F i g. 3 B den Zählwertgeber,
F i g. 3 C den /-Zähler und den ^-Zähler mit Dekodier- und Steuerschaltungen,
F i g. 3 D das Ausgangsregister und
Fig. 3E die Treiberschaltung für die Übertragung in das Ausgangsregister.
In Fi g. 1 wird in der Art eines Blockdiagramms ein System zu? Berechnung eines kodierten 2 σ-Wertes gezeigt, der auf der Gesamtzahl der durch ein Zählwertgeberregister 10 gezählten Ereignisse beruht. Es werden von verschiedenen Stellen des Zählwertgebers über die Ausgangsleitungen 11 Ausgangsimpulse erhalten, so daß, sobald die gewählte Anzahl von Ereignissen gezählt worden ist, ein Ausgangsimpuls von einem Ausgangsnetzwerk 12 zu einer Gruppe von Sequenztoren 13 geliefert wird. Die Zuordnung der Anzahl der Zählimpulse zu den verschiedenen Fehlerwerten wird in der unten stehenden Tabelle.I gegeben:
Tabelle I
Anzahl der Zählimpulse Zwei-Sigma-Fehler
0 bis 99 Über 20 »/0
100 bis 199 20 »/ο
200 bis 399 15%
400 bis 799 10 «/0
800 bis 1 599 7%
1 600 bis 3 999 5%
4 000 bis 9 999 3°/o
10 000 bis 19 999 2%
20 000 bis 39 999 1,5 »/0
40 000 bis 79 999 1%
80 000 bis 159 999 0,7%
160 0.00 bis 399 999 0,5%
400 000 bis 999 999 0,3%
1 000 000 und mehr 0,2%
Im ganzen sind dreizehn 2 σ-Fehlerwerte ausgewählt worden und zusätzlich eine Anzeige, die besagt, daß der Fehler zu groß ist, um einen genauen Zählwert zu ergeben, was hier in dem Fall zutrifft, daß weniger als 100 Ereignisse gezählt werden oder ein Fehler über 20% erreicht wird. Dies ergibt also eine erforderliche Anzahl von vierzehn möglichen Ausgangswerten. Man kann es so einrichten,, daß die Zustände des 2 σ-Zählers 14 diesen Ausgangswerten entsprechen, wie unten in der Tabelle II gezeigt wird. Es sind vier Flip-Flops erforderlich, um sechzehn es stabile Zustände darzustellen, von denen zwei nicht benutzt werden. Der Zähler 14 wird zu Beginn auf 0001 eingestellt anstatt auf 0000, um ein bequem handzuhabendes Ausgangssignal im Anschluß an
einen Zustand »3% Fehler« zu haben, wobei näm- übergeht und die gewünschten Ausgangswerte, die lieh das Flip-Flop höchster Ordnung des Zählers 14 ausgedruckt werden sollen, der Reihe nach wiedervon einem Zustand »Null« zu einem Zustand »1« holt werden.
Tabelle Il
2
(Binär)
a
(Dezimal)
Gewünschte
Ausgangswerte
Differenzen 5,0 Flip-Flop F4 Flip-Flop FA Addiere
0001 1 00,0 3j0 »unwahr« 0,2 »wahr«
0010 2 20,0 5
2,0 0,2
0011 3 15,0 5
2,0 0,1
0100 4 10,0 5,0 3
1,0 (0,2)
0101 5 7,0 2
0,5
0110 6 5,0 2 -
0,5
Olli 7 3,0 1
0,3
1000 8 2,0 5
1001 9 1,5 5
1010 10 1,0 3
1011 11 0,7 2
1100 12 0,5 2
1101 13 0,3 1
1110 14 0,2 2
Wenn der Zählwertgeber 10 so geschaltet ist, daß er mit Impulsen beaufschlagte Ausgänge nach 100, 200, 400 usw. Ereignissen aufweist, worauf in Tabelle I hingewiesen wird, muß dafür Vorsorge getroffen werden, daß auf jeden Impuls nur einmal reagiert wird. Zu der Zeit, wenn 400. Ereignisse gezählt worden sind, hat der Ausgang der 100-Zählstelle auf diejenige der Ausgangsleitungen 11 mit der niedrigsten Ordnung vier Impulse gegeben. Nur der erste dieser vier Impulse soll von dem 2 σ-Zähler 14 gezählt werden. Die Dekodiertore 15 erzeugen in solcher Weise Signale, daß der 2ö-Zählerl4 auf den 100-Zählimpuls nur dann reagiert, wenn er sich in dem Zustand 0001 befindet. Dieser Impuls bewirkt, daß der Zähler 14 in den Zustand 0010 vorrückt, wodurch ein Tor der Sequenztore 13 vorbereitet wird, so daß die Zählung des Ereignisimpulses 200 ermöglicht wird, und ein Tor der Sequenztore 13 gesperrt wird, welches dem Zähler ermöglichte, auf den Ereignisimpuls 100 zu reagieren.
Die selektive Abtastung der Ausgangslcitungen 11 des Zählwertgebers ermöglicht eine hervorragende Approximation an die gewünschte Quadratwurzelfunktion unter Verwendung einer sehr einfachen Schaltungsanordnung. Der 2 fr-Zähler 14 liefert einen recht einfachen kodierten Wert des Fehlers. Eine analoge oder digitale Dckodicrung, die von dem Analog- oder Digital-Dekoder 16 bewirkt wird, kann für eine unmittelbare gleichzeitige Anzeige des Fehlerwertes auf dem Anzeigemechanismus 17 sorgen. Ferner können die Dekodiertore 15 auch in Verbindung mit Vorwahlschaltern 18 benutzt werden, um in einem Ausgangsteil 19 ein einem vorgegebenen Fehler entsprechendes Signal zu erzeugen, so daß die gesamte Anordnung in der Weise beeinflußt wird, daß eine gewünschte statistische Zuverlässigkeit in dem Ergebnis erhalten wird, in dem nämlich das Signal 19 dazu benutzt wird, den Zählvorgang anzuhalten, wenn der gewünschte Wert erreicht worden ist.
Wenn der Anzeigeteil 17 von der Art ist, daß übliche logische Zustände für ein gedrucktes Zeichen bestimmend sind, können gewöhnliche Torschaltungen mit DC-Dioden oder Transistoren in dem Dekoder 16 benutzt werden, um den Zustand des 2a-Zäh-Iers in die gewünschten Ausgangszeichen zu übersetzen. In dem Fall jedoch, daß der Anzeigeteil 17 aus einem Druckregister besteht, kann sicli eine große Ersparnis an Torschaltungsgliedern in dem Dekoder 16 dadurch ergeben, daß gemäß der Erfindung eine dynamische oder Zähldckodierung verwandt wird. ;
F i g. 2 zeigt in der Art eines Blockdiagramms eine Schaltungsanordnung zum Übersetzen der in dem Zähler 14 befindlichen Zwei-Sigma-Wcrte in Ausgangs/eichen, und zwar durch Abzahlung nach Be-
7 8
endigung der Ereigniszählung. Tabelle II zeigt in Als nächstes werden zwei Impulse addiert und darauf einer der Spalten die gewünschten Ausgangswerte. Zu zwei weitere beim Zählerzustand 0110. Darauf wird dem Ausgangswert, der einem Fehler von mehr als ein Impuls beim Zählerzustand Olli addiert. Eine 20% entspricht, ist der Wert »0« gewählt worden, Gesamtzahl von dreizehn Impulsen ist nun in den und es müssen besondere Vorkehrungen getroffen 5 Zähler OD 2 gelangt, wobei auf den zehnten Impuls werden, den Übersetzungsschritt vollständig zu über- hin ein Übertrag in den Zähler OD 3 erfolgt, und springen, wenn dieser Zustand auftritt. Für jeden während der Zähler 14 in den Zustand 1000 schaltet, Zustand des 2 σ-Zählers 14 außer dem Zustand 0001, geht das Flip-Flop F 4 auf »wahr« über und verwobei in F i g. 2 dieselben Zahlenwerte benutzt wer- riegelt das in den Zähler OD 2 führende Tor 22 und den wie die entsprechenden Zahlenwerte in Fig. 1, io öffnet ein in-den ZählerODl führendes Tor25. Inwird der Abzählprozeß dazu benutzt, die Überset- dem in der gleichen Weise wie vorher verfahren zung zu bewirken. Es sollte dabei darauf hingewiesen wird, werden die folgenden Taktimpulse in den Zähwerden, daß der Zustand 1111 nicht benutzt wird ler ODl und den Zähler 21 gezählt, und es wird und während der Ereigniszählung nicht erreicht wer- jeweils nach Maßgabe der Werte von Tabelle II auf den kann. . 15 den nächsten Zustand übergegangen, so daß eine
In Tabelle II wird eine Spalte mit Differenzen ge- Gesamtzahl von zwanzig Impulsen in den Zähler zeigt, und diese bestehen, wie man sieht, aus zwei sich OD1 eingezählt werden wird, bevor der Zustand wiederholenden Gruppen, wenn man nur die jeweils 1111 erreicht wird, dessen Signale das Ende des Proenthaltenen ganzen Zahlen betrachtet und ihre Stel- zesses anzeigen. Übertragsimpulse vom Zähler OD1 lung bezüglich der Dezimalstelle außer acht laßt. Die 20 in den Zähler OD 2 ergeben einen endgültigen Wert letzte Differenz am Schluß der Spalte, der ein- von 150 in dem die Zähler ODl, OD 2 und OD 3 geklammerte Wert (0,2), stellt die Differenz des klein- umfassenden Register, und dieser Wert stellt mit einer sten auftretenden Fehlers (0,2%) zu Null dar. Wäh- Kommastelle versehen den Wert dar, der gedruckt rend die Aufsummierung des 2 σ-Wertes nach F i g. 1 werden soll. In entsprechender Weise ergibt bei dadurch vor sich geht, daß der 2 σ-Zähler 14 vorwärts 25 jedem beliebigen Anfangswert in dem 2 σ-Zähler 14 geschaltet wird, sollte bei dem Übersetzungsprozeß die Summe der Impulse, die unter der Steuerung der aus Gründen der Ökonomie der Zähler 14 in der- von dem Flip-Flop F 4 gesteuerten Tore 22 und 25 in selben Richtung zählen. Der gewünschte Ausgangs- das Ausgangsregister addiert werden, die Zahl, die wert kann als kodiertes Komplement des gespeicher- wunschgemäß ausgedruckt werden soll,
ten Wertes gedacht werden, d. h. während die Zahl 30 Die F i g. 3 A bis 3 E zeigen eine den Blockschaltder Eingangsimpulse während der Ereigniszählung bildern von F i g. 1 und 2 im wesentlichen entansteigt, fällt die gewünschte Größe des Ausgangs- sprechende Schaltungsanordnung, wie sie gemäß der wertes ab. offengelegten Patentanmeldung P 15 49 387.5 der
Die letzte Spalte in der Tabelle II zeigt die An- Anmelderin gleichzeitig auch zur Berechnung von zahl von Zählimpulsen, die in das Ausgangsregister 35 Zählimpulsen pro Minute verwendet werden kann. OD1 bis OD 3 für jede Stellung des 2 σ-Zählers 14 Der Zusammenhang zwischen den Blockschaltbildern während des Übersetzungsprozesses addiert werden der Fig. 1 und 2 und der Schaltungsanordnung der müssen. Wie in Fig. 2 gezeigt wird, gelangen Takt- Fig. 3A bis 3E ist dabei folgendermaßen,
impulse von einer Taktimpulsquelle 20, die eine ge- Der Zählwertgeber 10, das Ausgangsnetzwerk 12 ringe Frequenz aufweisen, um die Ausgangszähler 40 und die Sequenztore 13 entsprechen der in Fig. 3B ODl, OD2 und OD3 geeignet weiterzuschalten, zu dargestellten Schaltung, wobei die Flip-Flops SRI einem /-Zähler 21 und zu einem der beiden Zähler bis SR 25 von F i g. 3 B den Zählwertgeber 10 und ODl oder OD2, je nachdem welchen Zustand das das Ausgangsnetzwerk 12 von Fig. 1 bilden. Die vierte Flip-FlopF4 in dem 2 σ-Zähler 14 einnimmt. Sequenztore 13 von Fig. 1 werden durch Tore wie Es sei z.B. angenommen, daß der auszudruckende 45 etwa die Tore208, 210 und 211 von Fig. 3B dar-2a-Wert 15% betrage. In diesem Fall befindet sich gestellt. Die Ausgangssignale von den Sequenztoren der 2 σ-Zähler 14 zunächst in dem Zustand 0011, wie 13 von Fi g. 1 in den Zwei-Sigma-Zähler entsprechen man aus Tabelle II entnehmen kann. Deshalb befin- den Signalen PEP-202 von Fig. 3B. Bei derartigen d'et sich das Flip-Flop F 4 in seinem Zustand »un- Signalen sind Ausgangssignale durch rechteckige Umwahr« und bereitet das Tor 22 über dessen invertie- 50 randungen und Eingangssignale durch ovale Umranrenden Eingang vor, wie durch einen kleinen Kreis düngen dargestellt.
auf der Eingangsleitung zu diesem Tor angezeigt Der Zwei-Sigma-Zähler 14 von F i g. 1 und 2 bewird, und die Taktimpulse werden zu dem Zähler steht aus den Flip-Flops 114 bis 117 von F i g. 3 A OD 2 gelangen. Die Dekodiertore 23 des Zählers 14 und wird dort als is-Zähler bezeichnet. Die Tore, von erzeugen logische Zustände in den Steuertoren 24, 55 denen einige mit 207 und 209 in F i g. 3 A bezeichwelche wiederum dafür sorgen, daß fünf Taktimpulse net sind, entsprechen den Dekodiertoren 15 und 23 zu dem /-Zähler 21 und zu dem Zähler OD 2 gelan- der F i g. 1 und 2. Die Steuertore 24 von F i g. 2 ergen, bevor ein Ausgangsimpuls auftritt. Wenn fünf scheinen in F i g. 3 A z. B. als Tore 219 bis 226. .
Taktimpulse in die Zähler 21 und OD 2 geschickt Der /-Zähler 21 von F i g. 2 wird durch die Flipworden sind, tritt ein Ausgangsimpuls an den Steuer- 60 Flops 132, 133 und 134 in F i g. 3 C dargestellt. Die toren 24 auf, welcher den 2 σ-Zähler in den nächsten Funktion der Tore 22 und 25 von F i g. 2 wird durch Zustand 0100 weiterschaltet und den/-Zähler 21 auf den K-Z'ähler von F i g. 3 C, dargestellt durch die Null zurückstellt. Gemäß Tabelle II werden nur drei Flip-Flops 135 bis 138, sowie durch die beim rech-Taktimpulse zu dem /-Zähler 21 und zu dem Zäh- ten Teil der Fig. 3D gezeigten Torschaltungen ler OD 2 gelangen, bevor ein Ausgangssignal an den 63 durchgeführt.
Steuertoren 24 auftritt und eine Weiterschaltung des Das Ausgangsregister mit den Zählstellen ODl,
Zählers 14 auf den Zustand 0101 bewirkt und den OD2 und OD3 von Fig. 2 entspricht den Register-
J-Zähler21 in seinen Anfangszustand zurückstellt. dekaden PR2, PR3 und PR4 von Fig. 3D. Die
Taktimpulse 20 von Fig. 2 werden durch die in F i g. 3 E gezeigte Taktschaltung erzeugt. Dabei sind die Ausgangssignale PCL und PAD-118 der Taktschaltung wesentlich.
Der /-Zähler von Fig. 3 A, der die Flip-Flops 114 bis 117 enthält, stellt ständig den Zwei-Sigma-Wert in kodierter Form zusammen, indem er die Fehlerimpulse PEP-202 aufsummiert, die von dem Zählwertregister von Fig. 3B während der Zeitspanne kommen, während der die Ereigniszählung stattfindet und auf die die Zeitspanne folgt, in der die Berechnung des 2-Sigma-Wertes stattfindet.
Der /-Zähler stellt eine 2 o-Spannung TSV zur Anzeige auf einem Meßgerät 203 über einen 2o--Digital-Analog-Umwandler 204 in Fig. 3A bereit, damit eine gleichzeitige unmittelbare Anzeige des 2o-Fehlers auf dem Meßgerät 203 erfolgen kann.
Der /-Zähler, der die Flip-Flops 132 bis 134 in F i g. 3 C enthält, wird zusammen mit den dekodierten Werten des /-Zählers dazu benutzt, die Berechnung des 2&--Wertes zu steuern. Der Ä-Zähler, der die Flip-Flops 135 bis 138 in Fig. 3C enthält, wird dazu benutzt, während der Berechnung des 2 σ-Wertes eine Auswahl zwischen den Eingängen DK 2 und DK3 zu dem Druckregister von Fig. 3D zu treffen.
Während bei der Auf summierung der Zählimpulse in dem Zählwertgeber von F i g. 3 B die Probenzählimpulse SCT-5S durch das von einem Zählsignal ACC vorbereitete UND-Tor 205 und durch das ODER-Tor 88 in den Zählwertgeber gelangen, werden PEP-Impulse 202 in dem Zählwertgeber erzeugt und in dem /-Register von F i g. 3 A über das UND-Tor 206, das ebenfalls von einem Zählsignal ACC vorbereitet ist, gezählt; und zwar geschieht dies aus dem Grunde, um den aufsummierten 2 σ-Wert zu kontrollieren sowohl für die Anzeige auf dem vorher erwähnten Meßgerät über den 2 σ-Digital-Analog-Wandler 204 als auch um an Hand eines vorgegebenen Fehlersignals den Zählprozeß zu beenden.
Der Wandler 204 hat die Aufgabe, die verschiedenen Zustände des /-Zählers, in dem der 2(j-Wert zusammengestellt wird, in einen proportionalen Spannungs- oder Stromwert umzuwandeln. Dies kann in bekannter Weise mit Hilfe von Diodentorschaltungen und vier Widerständen geschehen. Wenn eines der Flip-Flops 114 bis 117 eine »1« enthält, wird ein Stromfluß durch den entsprechenden Widerstand ermöglicht, und wenn dieses Flip-Flop eine »0« enthält, wird die Diodentorschaltung dazu benutzt, diesen Stromfluß zu verhindern. Die Widerstandswerte werden in dem Verhältnis 1:2:4:8 gewählt, wobei der höchste Widerstand dem Flip-Flop 114 und der niedrigste Widerstand dem Flip-Flop 117 zugeordnet wird. Sämtliche Ströme werden dann zueinander addiert, so daß man einen der in dem /-Zähler gespeicherten Zahl proportionalen Strom erhält. Die gemeinsame Leitung zu dem Meßgerät 203, auf der sämtliche Ströme zusammenaddiert sind, weist einen geringen Widerstand auf.
Die PEP-Impulse 202 werden von dem Zählwertgeber von Fig. 3 B erzeugt, und zwar mit Hilfe der /-Zählerdekodierleitungen Z57T bis D/13 von Fig.3 A, und führen dazu, daß der /-Zähler den zugehörigen kodierten 2 σ-Wert enthält, nachdem die entsprechende Anzahl von Zählimpulsen im Zählwertgeber aufsummiert worden ist. Dieser Zusammenhang wird in Tabelle I beschrieben. Um dieses zu erreichen, müssen Ausgangsimpulse aus dem Zählwertgeber nach den Zählständen 100, 200, 400 usw. ausgegeben werden. Jedoch darf, wie schon vorher erwähnt, jeder dieser Ausgangsimpulse nur einmal gezählt werden. Wenn der /-Zähler sich in der Position 0001 befindet, schaltet der 100-Zählimpuls den Zähler auf die Stellung 0010. Darauf muß der 200-Zählimpuls und nicht der nächste 100-Zählimpuls den Zähler in die Stellung 0011 weiterschalten. Als nächstes muß der*400-Zählimpuls den Zähler weiterschalten und nicht der nächste 100- oder 200-Zählimpuls. Um dies zu erreichen, wird der Stand des /-Zählers durch die Leitungen DiX bis D/14 dekodiert. Diese Leitungen werden dazu benutzt, auszuwählen, welcher Impuls gezählt werden soll. Zum Beispiel wird im Zustand Olli nur-das Tor bei 10 000 Zählimpulsen vorbereitet, während die übrigen Tore verriegelt werden, da in diesem Fall D/7 »unwahr« ist, weil alle zu dem ODER-Tor 207 führenden Leitungen »unwahr« sind, so daß das Tor 208 über seinen invertierenden Eingang vorbereitet wird.
Die Dekaden des in Fig. 3B dargestellten Zählwertregisters sind im 3-Überschuß-Kode kodiert, so daß die Zahl 10 000 bei einem ersten Zählimpuls in die Dekade 60 erreicht wird, vor dessen Eintreffen die Flip-Flops SR17 und SR18 eine »1« und die Flip-Flops SR19 und SR 20 eine »0« enthalten. Auf das Eintreffen des zehntausendsten Impulses hin ändert sich der Zustand des Flip-Flops SR19, und ein Impuls wird durch das Tor 208 geschickt, so daß der geforderte Ausgangsimpuls PEP-202 entsteht. Die übrigen Tore, die mit den Ausgängen PEP-202 verbunden sind, werden durch die übrigen Signale Dl gesperrt. Die große Anzahl der mit der Dekade 60 verbundenen Tore ist aus dem Grunde erforderlich, weil gemäß Tabelle I vier mögliche Ausgangsimpulse PEP-202 aus dieser Dekade abgeleitet werden müssen, nämlich entsprechend den Zählimpulsen 10 000, 20 000, 40 000 und 80 000.
In einem weiteren Beispiel sei angenommen, daß sich der /-Zähler beim Übergang von 40 000 auf 80 000 Zählimpulse in dem Zustand 1010 befindet. Zu diesem Zeitpunkt sind sämtliche Eingänge zu dem ODER-Tor 209 in Fig. 3A »unwahr«, so daß sich ein »unwahres« Ausgangssignal DiXO ergibt, welches als »unwahres« Eingangssignal in das ODER-Tor 210 in Fig. 3B gelangt. Wenn die Dekade 60 den Zählerstand 5 aufweist, ist der in das ODER-Tor 210 führende obere Teil des Flip-Flops SR20 »unwahr«; jedoch ist der obere Teil des Flip-Flops SR18 »wahr«, so daß das UND-Tor 211 gesperrt wird, wenn der Inhalt der Dekade 60 im 3-Überschuß-Kode 1000 beträgt. Bei einem Zählstand von 60 000 enthält die Dekade 1001, und der obere Teil von SR18 wird wieder »wahr« und verriegelt über das ODER-Tor 210 das UND-Tor 211. Beim Erreichen von 70 000 wird das Tor 211 vorbereitet, da der obere Teil von SR18 »unwahr« wird; jedoch ist nun der untere Teil von SR17 »unwahr«, so daß kein Signal durch das UND-Tor 211 gelangt. Beim Erreichen eines Zählerstandes von 80 000 geht der untere Teil von SR17 in »wahr« über und erzeugt über das UND-Tor 211 ein Ausgangssignal PEP-202, da dieses Tor über seinen invertierenden Eingang vorbereitet wird, weil sowohl die oberen Teile von SR18 und SR 20 als auch Z57TÜ »unwahr« sind, so daß ein »unwahres« Ausgangssignal von dem ODER-Tor 210 ausgeht. Die Ausgangssignale PEP-202 für
11 12
die weiteren 2 σ-Werte werden in einer ähnlichen selten Zahl entsprechende richtige Zahl in dem Weise erzeugt. Auf diese Weise wird der Inhalt des P-Register zu erhalten, müssen zwei Impulse hinzu-/-Zählers durch jeden Impuls PEP-202 auf den addiert werden. Damit diese zu der richtigen Dezineuesten Stand gebracht, wobei jedesmal ein neuer malstelle gelangen, müssen diese Impulse zu PR 2 Bereich des prozentualen Fehlers angezeigt wird; und 5 hinzuaddiert werden. Jedesmal, wenn der /-Zähler zwar geschieht dies in kontinuierlicher Weise wäh- das verschlüsselte Zeichen 14 zeigt, werden zwei Imrend des Zählvorganges, ohne daß der 2 σ-Wert in pulse zu PR 2 hinzuaddiert, nämlich die Differenz periodischen Zeitabständen vollständig neu berechnet zwischen 000,00 und 000,20, wenn man in dem werden muß. P-Register fünf Dekaden benutzt.
Der K-Zähler von Fig. 3C wurde anfänglich auf io Am Schluß der Tabelle II folgt als nächstes Zei-
einen Zählerstand von 1110 eingestellt und enthält chen das verschlüsselte Zeichen 13 (1101), das dem
diesen Zählerstand für die Berechnung des 2 σ-Wertes 2 σ-Wert von 0,3 % entspricht. Wenn der /-Zähler
nach Beendigung des Datenzählvorganges voraus- dieses verschlüsselte Zeichen aufweist, muß ein Im-
gesetzt, daß nicht mehr als 10 000 Impulse in dem puls zu PR 2 addiert werden, und zwar zusätzlich
Zählwertgeber gezählt worden sind. Wenn mehr als 15 zu dem Addierschritt beim Fehlerstand 14, bei dem
10 000 Impulse gezählt worden sind, wird sich der noch zwei weitere Impulse zu PR 2 addiert werden,
K-Zähler in einem Zustand 1100 befinden. Der ' so daß sich insgesamt die erforderlichen drei Impulse
Grund hierfür wird im folgenden erklärt werden. ergeben.
Um diesen Zustand zu erhalten, wird das in das Flip- Das in der Tabelle II dritte Zeichen von unten, ist Flop 136 führende Tor 212 mit einem Signal ACC 20 das verschlüsselte Zeichen 12 (1100) und die entvorbereitet, so daß, wenn iR4 auf »wahr« übergeht, sprechende auszudruckende Zahl ist 0,5. Während das Eingangssignal//?4-213 über das Tor214 KR2 der /-Zähler die Zählerstände 13 und 14 durchläuft, einschaltet und den /C-Zähler in den Zustand 1100 werden drei Impulse zu PR 2 hinzuaddiert, so daß versetzt. in der Stellung 12 zwei Impulse addiert werden müs-
Nach der Aufsummierung der Impulse im Zähl- 35 sen, um insgesamt die fünf erforderlichen Impulse
wertgeber kann der Inhalt des Druckers oder zu erhalten.
P-Registers von Fig. 3D, das zuvor gelöscht worden In dem Vorangehenden wird der erfindungsgemäße
ist, entweder ausgedruckt werden, oder es kann in Dekodiervorgang deutlich, bei dem in dem /-Zähler
ihm eine Berechnung eines 2 σ-Wertes erfolgen. in Vorwärtsrichtung von irgendeinem Zählerstand aus
Wenn weniger als 100 Zählimpulse während des 30 weitergezählt wird, in dem sich der /-Zähler gerade
Zählvorganges erhalten worden sind, wird sich der befindet, wenn die Berechnung des 2 σ-Wertes be-
/-Zähler von Fig. 3A nochJn seinem anfänglichen · gönnen wird; dabei werden ein, zwei, drei oder fünf
Zustand 0001 befinden, und DiI wird »unwahr« sein, Zählimpulse in das P-Register hineinaddiert, je nach-
wobei der 2o-Fehler größer als 20% ist; in diesem dem welches verschlüsselte Zeichen der /-Zähler in
Fall würde die Berechnung von 2 a übersprungen 35 diesem Moment gerade aufweist. Jedoch ergibt sich
werden, und beim Ausdrucken würden aus dem eine Schwierigkeit; um den Wert 20,0 auszudrucken,
P-Register lauter Nullen ausgedruckt werden. Wenn wäre es nötig, 200 Impulse zu PR 2 zu addieren, was
DiI »wahr« ist und sich ein 2 σ-Wert in dem /-Zähler etwa 10 Sekunden in Anspruch nehmen würde, also
in kodierter Form angesammelt hat, muß dieser viel zu lange dauern würde. Wenn das P-Register so
dekodiert werden, und der Wert muß in dem 40 verschoben würde, daß der Eingang nach PR 3 ginge,
P-Register zum Ausdrucken gespeichert werden. wäre es lediglich notwendig, 20 Impulse zu addieren.
Da, wie schon gesagt, Fehlerwerte von mehr als Dies wird dadurch erreicht, daß eine Verschiebung 20% außer acht gelassen werden, gibt es dreizehn stattfindet, wenn der /-Zähler das verschlüsselte mögliche auszudruckende 2 σ-Werte. Die Tabelle II Zeichen 8 (1000) zeigt. So lange der /-Zähler ein zeigt sämtliche dieser Werte zusammen mit den tat- 45 verschlüsseltes Zeichen von weniger als 8 aufweist, sächlich auszudruckenden Zahlen und einer Aufstel- werden die Impulse zu PR 3 addiert. Wenn das verlung der Differenzen zwischen diesen Zahlen. Wenn schlüsselte Zeichen größer ist als 8, werden sie zu die Anzahl der in dem /-Zähler gezählten PEP-Im- PR2 addiert, wobei von PR2 nach PR3 ein Überpulse ansteigt, vermindert sich die Größe der aus- trag stattfindet. Der /C-Zähler wird dazu benutzt, den zudruckenden Zahl. Dies spiegelt die Tatsache 50 jeweils richtigen Eingang in das P-Register auszuwähwider, daß gemäß der Quadratwurzelfunktion der len. Dieser Vorgang spielt sich folgendermaßen ab.
resultierende statistische Fehler um so kleiner wird, Der /-Zähler wird dazu benutzt, zu bestimmen, ob je größer die Anzahl der gezählten Impulse ist. Da für irgendeinen Zählerstand in dem /-Zähler ein, das Endergebnis in das P-Register eingezählt werden zwei, drei oder fünf Impulse in das P-Register admuß, macht die Erfindung von einer Dekodier- 55 diert werden sollen, während der ^-Zähler bestimmt, methode Gebrauch, bei der ebenfalls ein Zählen statt- in welche Stelle des P-Registers eingezählt wird. Das findet. in die P-Taktschaltung von Fig. 3e einlaufende
Wenn der /-Zähler bis zum Zählerstand 1110 ge- Signal UTS-215 ermöglicht es, daß ATP-171 einbracht wird und das P-Register dabei mitzählt, ist die geschaltet wird, so daß die Erzeugung von Impulsen endgültige Zahl in dem P-Register um so geringer, 60 PAD-178 ermöglicht wird. ΑΎΡ-171 bleibt im Zuje höher die Zahl in dem/-Zähler ist; dies stellt schon stand »wahr«, bis eine geeignete Anzahl von Impuldie richtige Richtung dar, obwohl es noch nicht das sen in das P-Register eingezählt worden ist, und wird korrekte Ergebnis in dem P-Register liefern würde, erst abgeschaltet, wenn das Signal TTS-216 (Beendiwenn das P-Register jedesmal, wenn eine »1« in den gung der Berechnung von 2o) in dem auf Di 14 in /-Zähler addiert wird, einen Schritt vorwärts geschal- 65 F i g. 3 A folgenden Zustand auf »unwahr« übergeht, tet würde. Beginnt man am Schluß der Tabelle II, so Eines der beiden Signale DlCl oder UKJ ist entspricht der Wert 0,2% dem Zählerstand 14 im »unwahr«, je nachdem ob der /-Zähler während der /-Zähler oder kodiert 1110. Um die dieser verschlüs- Berechnung des 2o--Wertes sich oberhalb des Zähler-
Standes 8 befindet oder nicht. Diese Signale treffen darüber eine Auswahl, welche Stelle des P-Registers die Impulse TXD-178 erhält. Das Tor 212 in Fig. 3C führt ein »unwahres« Ausgangssignal, weil CTS und ACC »unwahr« sind, so daß das sich oberhalb von KR 2 befindende Tor 214 über seinen invertierenden Eingang vorbereitet wird. Falls iR 4-213 auf »wahr« übergeht, d. h., falls der /-Zähler das verschlüsselte Zeichen 1000 führt, wird der K-Zähler von der Stellung DK3 in die Stellung DK2 geschaltet.
Impulse PCL-217 erscheinen in dem Moment, wenn PAD auf »wahr« übergeht, und werden in den /-Zähler über das Eingangstor 218 eingezählt, das über seinen invertierenden Eingang durch CTS vorbereitet wird.
Für irgendeine Stellung des /-Zählers ist eine der Leitungen TJi »unwahr«. Diese Leitungen steuern die Torschaltung, welche den Eingang in den monostabilen Multivibrator CLN-YIl in Fig. 3 A bildet. Das Tor 219 wird dadurch vorbereitet, daß entweder das Signal D77 oder das Signal D/13 auf »unwahr« übergeht, da es einen invertierenden Eingang aufweist und daß das in das Tor 220 führende Signal CTS »unwahr« ist. Dementsprechend müssen beide Signale D/7 und D/13 »wahr« sein, um durch das UND-Tor 221 hindurchzugelangen und ein »wahres« Ausgangssignal hinter dem ODER-Tor 220 zu ergeben, wodurch das Tor 219 verriegelt würde. Die Tabellell deutet darauf hin, daß ein Impuls unter diesen beiden Bedingungen addiert werden soll. Wenn der /-Zähler von seinem Anfangszustand aus mit dem Zählen begonnen hat, wird ihn der erste Impulse in den Zustand 011 bringen, so daß JR2 auf »wahr« eingestellt wird. Dieser Impuls triggert über das Tor 219 und das Tor 126 den monostabilen Multivibrator CLN-XTl. Das Und-Tor 222 ist durch entsprechende über die Tore 223 und 224 gelangende Signale D7 vorbereitet, wenn sich der /-Zähler in den Stellungen 5, 6, 11, 12 oder 14 befindet, und läßt den Impuls JR 3 passieren, der dann auftritt, nachdem zwei Impulse in den /-Zähler und das P-Register eingezählt worden sind. Auf ähnliche Weise ermöglichen die Tore 225 und 226 eine Triggerung des monostabilen
ίο Multivibrators CLN-127, nachdem drei und fünf Impulse in den /-Zähler und das P-Register eingezählt worden sind.
Das Ausgangssignal CLN-129, welches als Eingangssignal CLiV-130 in F i g. 3 C erscheint und nach einem, zwei, drei oder fünf in den /-Zähler und das P-Register eingezählten Impulsen erzeugt wird, bewirkt, daß der Zähler auf seinen Anfangszustand 001 über das NAND-Tor 227 zurückgestellt wird.
Dieser Rückstellvorgang erzeugt auch das Signal /?/Z-228,,das in den /-Zähler über das Tor 229, das über seinen invertierenden Eingang durch CTS vorbereitet wird, und über das Tor 113 gelangt, so daß der /-Zähler im richtigen Zeitpunkt weitergeschaltet wird.
Der /-Zähler wird stufenweise durch seine sämtlichen übrigen Zustände weitergeschaltet, und das P-Register zählt dabei mit, bis die Stellung nach D7I4" in F i g. 3 A erreicht ist, wonach das Signal FTS- auf »unwahr« übergehen kann und das Tor 230 in Fig. 3E vorbereiten kann. Wenn FTS-216 auf »unwahr« übergeht, kann der nächste C-Taktimpuls CCL-231 durch das Tor 230 gelangen und ATP-YlX abschalten, wodurch der P-Taktvorgang gestoppt wird. Die fünf rechten Stellen des P-Registers von F i g. 3 D werden dann ausgedruckt.
Hierzu 2 Blatt Zeichnungen

Claims (4)

Patentansprüche:
1. Vorrichtung zur automatischen Berechnung und Anzeige des statistischen Fehlers einer Meßreihe von mit zufälligen Fehlern behafteten Messungen, wobei die Anzahl der Messungen in einem mehrstelligen Zählwertregister aufsummiert wird und der statistische Fehler nach vorgegebenen Fehlerbereichen eingestuft werden soll, von denen jeder unabhängig von der Amplitude der gemessenen Größe einem entsprechenden vorgegebenen Bereich der Anzahl der Messungen in der Weise zugeordnet ist, daß der jeweilige Wert des Fehlers der Quadratwurzel aus der Anzahl der Messungen umgekehrt proportional ist, dadurch gekennzeichnet, daß eine logische Torschaltung (13) mit Stellenausgängen (11) des Zählwertregisters (10) verbunden ist, die so gewählt sind, daß sie das Erreichen der genannten vorgegebenen Bereiche der Anzahl der Messungen anzeigen, und daß die logische Torschaltung (13) an einen Zähler (14) angeschlossen ist, dessen Ausgänge mit einer Dekodiervorrichtung (15) verbunden sind, deren Ausgänge zu der logischen Torschaltung (13) zurückführen und die logische Torschaltung (13) in solcher Weise steuern, daß die logische Torschaltung nur jedesmal dann einen Ausgangsimpuls von einem der genannten Stellenausgänge (11) des Zählwertregisters (10) zu dem Zähler (14) durchläßt, wenn der Zählstand im Zählwertregister (10) die Grenze eines der vorgegebenen Bereiche erreicht, so daß der Zähler (14) bei Erreichen jedes der vorgegebenen Bereiche um eins weitergeschaltet wird und sein Zählstand eine Darstellung des jeweiligen Fehlerbereiches ist, und daß mit-dem Zähler (14) eine Ausgangsdekodierschaltung (16, 17) zur Wiedergabe des Fehlerbereiches nach Maßgabe des Zählständes des Zählers (14) verbunden ist.
2. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, daß die Ausgangsdekodierschaltung (16, 17) einen Digital-Analog-Wandler (204) enthält, den jeweiligen Zählstand des Zählers (14) in einen dem Zählstand proportionalen Strom umzuwandeln und ein zur Anzeige dienendes Meßgerät (203) zu steuern.
3. Vorrichtung nach Anspruch 1, dadurch gekennzeichnet, daß zwecks Anzeige des Fehlerbereiches in Prozentwerten die Ausgangsdekodierschaltung (16, 17) eine Taktimpulsquelle (20) aufweist, deren Ausgang mit einem mehrere Zählstellen (ODl, OD 2, OD 3) aufweisenden Ausgangsregister und mit einem weiteren Zähler (21) verbunden ist, daß eine Steuertorschaltung (24) an die Ausgänge der mit dem Zähler (14) verbundenen Dekodierschaltung (23) und an die Ausgänge des weiteren Zählers (21) angeschlossen ist und dazu dient, nach jedem Einzählen einer durch den jeweiligen Zählstand des ersten Zählers (14) bestimmten Anzahl von Taktimpulsen in den weiteren Zähler (21) und in das Ausgangsregister den ersten Zähler (14) um eins weiterzuschalten und den weiteren Zähler (21) in seinen Anfangszustand zurückzustellen, so lange, bis der erste Zähler (14) seinen maximalen Zählstand erreicht hat, wobei die bestimmte Anzahl von Taktimpulsen jeweils der Differenz der Prozentwerte entspricht, die dem durch den ersten Zähler (14) gerade angezeigten Fehlerbereich bzw. dem nächstniedrigeren Fehlerbereich zugeordnet sind.
4. Vorrichtung nach Anspruch 3, dadurch gekennzeichnet, daß von der höchstwertigen Stelle des ersten Zählers (14) gesteuerte Tore (22, 25) zwischen die Taktimpulsquelle (20) und zwei Dekaden (ODl, OD 2) des Ausgangsregisters derart geschaltet sind, daß die Taktimpulse je nachdem, ob die höchstwertige Stelle des ersten Zählers eine Eins oder eine Null aufweist, in die niedrigere bzw. in die höhere der beiden Dekaden eingezahlt werden.
DE19671549388 1966-12-09 1967-12-09 Vorrichtung zur automatischen Berechnung und Anzeige des statistischen Fehlers Expired DE1549388C (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US60059666A 1966-12-09 1966-12-09
US60059666 1966-12-09
DEB0095773 1967-12-09

Publications (2)

Publication Number Publication Date
DE1549388B1 DE1549388B1 (de) 1972-08-17
DE1549388C true DE1549388C (de) 1973-03-22

Family

ID=

Similar Documents

Publication Publication Date Title
DE2220878A1 (de) Schaltungsanordnung zur digitalen frequenzmessung
DE1920727C3 (de) Digitales Frequenzmeßgerät mit selbsttätiger Meßdauerbestimmung
DE2162486A1 (de) Digital gesteuerter Impulsgenerator
DE3246432C2 (de)
DE2041349C3 (de) Vorrichtung zur ziffernmäßigen Anzeige schnell veränderlicher physikalischer Meßgrößen
DE2218415C3 (de) Rauschzahlmeßschaltung für ein in Betrieb befindliches Impulsradargerät
DE2225462A1 (de) Verfahren und Einrichtung zur Mittelwertbildung der von einem Vorwärts-Rückwärtssignalgeber her anliegenden Signale
DE2916976C2 (de) Speichereinrichtung
WO1995014236A1 (de) Phasenmessvorrichtung
DE2064513A1 (de) Nach dem Impulszahlverfahren arbei tender, selbsteichender Analog Digital Umsetzer
DE2615966A1 (de) Fehlermessung in digital-systemen
DE2632438A1 (de) Elektronische vorrichtung zum speichern und ablesen von zustaenden und/oder werten
DE2153233A1 (de) Detektor zur Feststellung von Datenänderungen
DE1549388C (de) Vorrichtung zur automatischen Berechnung und Anzeige des statistischen Fehlers
DE2111670A1 (de) Anordnung fuer die Anzeige der mittleren Rate des Auftretens eines ein Ereignis anzeigenden Signals
DE2543342A1 (de) Schaltungsanordnung und verfahren zur messung der genauigkeit eines zeitmessers
DE2337132B2 (de) Schaltungsanordnung zur Anzeige der Überschreitung wenigstens eines Grenzwertes durch ein digitales, binär codiertes Meßsignal
DE2826314A1 (de) Analog-digital-wandler
DE1549388B1 (de) Vorrichtung zur automatischen berechnung und anzeige des statistischen fehlers
DE2142053A1 (de) Zeitwählschaltung
DE3348056C2 (de)
DE2355361A1 (de) Vorrichtung zum abgleich der digitalen anzeige eines analogen signals
DE2343472C3 (de) Schaltungsanordnung zum Decodieren eines digitalen Signals bei stark schwankender Abtastgeschwindigkeit
DE2023884A1 (de)
DE2241848C3 (de) Digitale Einrichtung zur Auswertung statistischer Funktionen durch Korrelieren