DE112020004385T5 - Anordnen von oberen Durchkontakten an Leitungsenden durch selektives Aufwachsen einer Durchkontaktmaske aus einem Leitungseinschnitt-Dielektrikum - Google Patents

Anordnen von oberen Durchkontakten an Leitungsenden durch selektives Aufwachsen einer Durchkontaktmaske aus einem Leitungseinschnitt-Dielektrikum Download PDF

Info

Publication number
DE112020004385T5
DE112020004385T5 DE112020004385.1T DE112020004385T DE112020004385T5 DE 112020004385 T5 DE112020004385 T5 DE 112020004385T5 DE 112020004385 T DE112020004385 T DE 112020004385T DE 112020004385 T5 DE112020004385 T5 DE 112020004385T5
Authority
DE
Germany
Prior art keywords
line
host material
mask
procedure
self
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE112020004385.1T
Other languages
English (en)
Inventor
Ashim Dutta
Ekmini De Silva
Dominik Metzler
John Arnold
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of DE112020004385T5 publication Critical patent/DE112020004385T5/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Production Of Multi-Layered Print Wiring Board (AREA)

Abstract

Ausführungsformen der vorliegenden Erfindung sind auf ein Herstellungsverfahren und resultierende Anordnungen für ein Anordnen selbstausgerichteter oberer Durchkontakte an Leitungsenden einer Zwischenverbindungsanordnung ausgerichtet. Bei einer nicht beschränkenden Ausführungsform der Erfindung wird ein Leitungselement in einer Metallisierungsschicht einer Zwischenverbindungsanordnung gebildet. Das Leitungselement kann eine Leitungshartmaske aufweisen. In dem Leitungselement wird ein Graben gebildet, um Leitungsenden des Leitungselements freizulegen. Der Graben wird mit einem Host-Material gefüllt, und ein Wachstumsinhibitor wird über einem ersten Leitungsende des Leitungselements gebildet. Eine Durchkontaktmaske wird über einem zweiten Leitungsende des Leitungselements gebildet. Die Durchkontaktmaske kann selektiv auf einer freigelegten Oberfläche des Host-Materials aufgewachsen werden. Teilbereiche des Leitungselements, die nicht mit der Durchkontaktmaske bedeckt sind, werden zurückgesetzt, um einen selbstausgerichteten oberen Durchkontakt an dem zweiten Leitungsende zu definieren.

Description

  • HINTERGRUND
  • Die vorliegende Erfindung bezieht sich allgemein auf Fertigungsverfahren und resultierende Anordnungen für Halbleitereinheiten und spezifischer auf ein Fertigungsverfahren und resultierende Strukturen für ein Anordnen von selbstausgerichteten oberen Durchkontakten an Leitungsenden einer Zwischenverbindungsanordnung durch selektives Aufwachsen einer Durchkontaktmaske aus einem Leitungseinschnitt-Dielektrikum.
  • Die Fertigung von sehr hoch integrierten (VLSI) oder ultrahoch integrierten (ULSI) Schaltungen erfordert die Herstellung von komplexen Zwischenverbindungsanordnungen, die eine metallische Verdrahtung aufweisen, die einzelne Einheiten in einem Halbleiterchip miteinander verbindet. Üblicherweise besteht das Verdrahtungs-Zwischenverbindungsnetzwerk aus zwei Arten von Elementen, die als elektrische Leiter dienen, und zwar aus Leitungselementen, die eine Distanz über den Chip hinweg durchqueren, sowie Durchkontaktelementen, die Leitungen auf verschiedenen Ebenen verbinden. Die leitenden Metallleitungen und Durchkontakte sind aus einem leitfähigen Material hergestellt, wie beispielsweise Aluminium oder Kupfer, und sind durch Zwischenschichtdielektrika (ILDs) elektrisch isoliert. In einer mehrschichtigen Zwischenverbindungsanordnung wird auf die Metallisierungsschichten als „M“-Schichten Bezug genommen (z.B. M1-Schicht, M2-Schicht etc.), während „V“-Schichten die Durchkontakte bezeichnen, die zwischen benachbarten M-Schichten angeordnet sind (z.B. befindet sich V1 zwischen der M1- und der M2-Schicht).
  • Um die Anzahl von Schaltungen zu vergrößern, die auf einem Chip bereitgestellt werden kann, hat die Halbleiterindustrie die Gate-Länge von Transistoren und die Chip-Abmessung wiederholt verkleinert. Als Folge wurde die Zwischenverbindungsanordnung, die den metallischen Schaltungsaufbau bildet, ebenfalls verkleinert. Da die Abmessungen von Elementen integrierter Schaltungen (ICs) weiter verringert werden, nimmt das Aspektverhältnis (d.h. das Verhältnis von Höhe/Tiefe zu Breite) von Elementen, wie beispielsweise von Durchkontakten, im Allgemeinen zu, so dass der Herstellungsprozess komplizierter wird. Das Fertigen von komplexen Anordnungen von leitfähigen Zwischenverbindungsschichten und Durchkontakten mit einem hohen Aspektverhältnis bei zunehmend kleineren Wafer-Grundflächen ist einer der prozessintensivsten und kostensensitivsten Teilbereiche bei der Fertigung von Halbleiter-ICs.
  • KURZDARSTELLUNG
  • Ausführungsformen der Erfindung sind auf ein Verfahren für ein Bilden von selbstausgerichteten oberen Durchkontakten an Leitungsenden einer Zwischenverbindungsanordnung ausgerichtet. Ein nicht beschränkendes Beispiel für das Verfahren weist ein Bilden eines Leitungselements in einer Metallisierungsschicht der Zwischenverbindungsanordnung auf. Das Leitungselement kann eine Leitungshartmaske aufweisen. In dem Leitungselement wird ein Graben gebildet, um die Leitungsenden des Leitungselements freizulegen. Der Graben wird mit einem Host-Material gefüllt und ein Wachstumsinhibitor wird über einem ersten Leitungsende des Leitungselements gebildet. Über einem zweiten Leitungsende des Leitungselements wird eine Durchkontaktmaske gebildet. Die Durchkontaktmaske kann selektiv auf einer freigelegten Oberfläche des Host-Materials aufgewachsen werden. Teilbereiche des Leitungselements, die nicht mit der Durchkontaktmaske bedeckt sind, werden zurückgesetzt, um einen selbstausgerichteten oberen Durchkontakt an dem zweiten Leitungsende zu definieren.
  • Ausführungsformen der Erfindung sind auf ein Verfahren für ein Bilden von selbstausgerichteten oberen Durchkontakten an Leitungsenden einer Zwischenverbindungsanordnung ausgerichtet. Ein nicht beschränkendes Beispiel für das Verfahren weist ein Bilden eines Leitungselements in einer Metallisierungsschicht auf. Das Leitungselement kann zwei oder mehr Leitungsenden aufweisen. Ein Bereich zwischen einem ersten Leitungsende und einem zweiten Leitungsende wird mit einem Host-Material gefüllt, und über dem ersten Leitungsende wird ein Wachstumsinhibitor gebildet. Eine Durchkontaktmaske wird selektiv über einer freigelegten Oberfläche des Host-Materials aufgewachsen. Teilbereiche des Leitungselements, die nicht mit der Durchkontaktmaske bedeckt sind, werden zurückgesetzt, um einen selbstausgerichteten oberen Durchkontakt an dem zweiten Leitungsende zu definieren.
  • Ausführungsformen der Erfindung sind auf eine Halbleiteranordnung ausgerichtet. Ein nicht beschränkendes Beispiel für die Halbleitereinheit weist ein Leitungselement in einer Metallisierungsschicht einer Zwischenverbindungsanordnung auf. Eine Mehrzahl von selbstausgerichteten oberen Durchkontakten ist an Leitungsenden des Leitungselements ausgebildet. Die selbstausgerichteten oberen Durchkontakte weisen eine gleiche kritische Abmessung auf. Die kritische Abmessung ist keiner lithographischen Schwankung unterworfen.
  • Ausführungsformen der Erfindung sind auf eine Halbleiteranordnung ausgerichtet. Ein nicht beschränkendes Beispiel für die Halbleitereinheit weist ein Leitungselement in einer Metallisierungsschicht einer Zwischenverbindungsanordnung auf. Das Leitungselement weist ein erstes Leitungsende und ein zweites Leitungsende auf. Ein Graben befindet sich benachbart zu dem ersten Leitungsende des Leitungselements. Der Graben weist ein Host-Material auf. An dem ersten Leitungsende des Leitungselements ist ein erster oberer Durchkontakt ausgebildet. Der erste obere Durchkontakt weist eine erste kritische Abmessung auf. An dem zweiten Leitungsende des Leitungselements ist ein zweiter oberer Durchkontakt ausgebildet. Der zweite obere Durchkontakt weist die erste kritische Abmessung auf. Die erste kritische Abmessung ist keiner lithographischen Schwankung unterworfen.
  • Durch die Techniken der vorliegenden Erfindung werden weitere technische Merkmale und Vorteile realisiert. Hierin sind Ausführungsformen und Aspekte der Erfindung im Detail beschrieben und werden als ein Teil des beanspruchten Gegenstands betrachtet. Für ein besseres Verständnis sei auf die detaillierte Beschreibung und auf die Zeichnungen verwiesen.
  • Figurenliste
  • Die Spezifika der exklusiven Rechte, die hierin beschrieben sind, sind insbesondere in den Ansprüchen am Ende der Beschreibung speziell aufgezeigt und eindeutig beansprucht. Das Vorstehende und weitere Merkmale und Vorteile der Ausführungsformen der Erfindung werden aus der folgenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen ersichtlich, in denen:
    • 1 eine Querschnittsansicht einer Halbleiteranordnung nach einem anfänglichen Satz von Bearbeitungsvorgängen gemäß einer oder mehreren Ausführungsformen der Erfindung darstellt;
    • 2 eine Querschnittsansicht der Halbleiteranordnung nach weiteren Bearbeitungsvorgängen gemäß einer oder mehreren Ausführungsformen der Erfindung darstellt;
    • 3 eine Querschnittsansicht der Halbleiteranordnung nach weiteren Bearbeitungsvorgängen gemäß einer oder mehreren Ausführungsformen der Erfindung darstellt;
    • 4 eine Querschnittsansicht der Halbleiteranordnung nach weiteren Bearbeitungsvorgängen gemäß einer oder mehreren Ausführungsformen der Erfindung darstellt;
    • 5 eine Querschnittsansicht der Halbleiteranordnung nach weiteren Bearbeitungsvorgängen gemäß einer oder mehreren Ausführungsformen der Erfindung darstellt;
    • 6 eine Querschnittsansicht der Halbleiteranordnung nach weiteren Bearbeitungsvorgängen gemäß einer oder mehreren Ausführungsformen der Erfindung darstellt;
    • 7 eine Querschnittsansicht der Halbleiteranordnung nach weiteren Bearbeitungsvorgängen gemäß einer oder mehreren Ausführungsformen der Erfindung darstellt;
    • 8 eine Querschnittsansicht der Halbleiteranordnung nach weiteren Bearbeitungsvorgängen gemäß einer oder mehreren Ausführungsformen der Erfindung darstellt;
    • 9 eine Querschnittsansicht der Halbleiteranordnung nach weiteren Bearbeitungsvorgängen gemäß einer oder mehreren Ausführungsformen der Erfindung darstellt;
    • 10 eine Querschnittsansicht der Halbleiteranordnung nach weiteren Bearbeitungsvorgängen gemäß einer oder mehreren Ausführungsformen der Erfindung darstellt;
    • 11 eine Querschnittsansicht der Halbleiteranordnung nach weiteren Bearbeitungsvorgängen gemäß einer oder mehreren Ausführungsformen der Erfindung darstellt;
    • 12 ein Flussdiagramm darstellt, das ein Verfahren gemäß einer oder mehreren Ausführungsformen der Erfindung zeigt; und
    • 13 ein Flussdiagramm darstellt, das ein Verfahren gemäß einer oder mehreren Ausführungsformen der Erfindung zeigt.
  • Die hierin dargestellten Schaubilder sind illustrativ. Es kann viele Variationen für das Schaubild oder die Vorgänge geben, die hierin beschrieben sind, ohne von dem Inhalt der Erfindung abzuweichen. Zum Beispiel können die Maßnahmen in einer anderen Reihenfolge durchgeführt werden, oder es können Maßnahmen hinzugefügt, eliminiert oder modifiziert werden.
  • In den beigefügten Figuren und der folgenden detaillierten Beschreibung der dargelegten Ausführungsformen der Erfindung sind die verschiedenen Elemente, die in den Figuren dargestellt sind, mit Bezugszeichen mit zwei oder drei Ziffern versehen. Mit wenigen Ausnahmen entspricht (entsprechen) die Ziffer(n) jedes Bezugszeichens, die sich am weitesten links befindet (befinden), der Figur, in der das Element erstmals dargestellt ist.
  • DETAILLIERTE BESCHREIBUNG
  • Es versteht sich vorab, dass, wenngleich beispielhafte Ausführungsformen der Erfindung in Verbindung mit einer speziellen Transistorarchitektur beschrieben sind, Ausführungsformen der Erfindung nicht auf die speziellen Transistorarchitekturen oder Materialen beschränkt sind, die in dieser Beschreibung dargelegt sind. Stattdessen können Ausführungsformen der vorliegenden Erfindung in Verbindung mit irgendeiner anderen Art einer Transistorarchitektur oder irgendeiner anderen Art von Materialien realisiert werden, die jetzt bekannt sind oder später entwickelt werden.
  • Der Kürze halber können herkömmliche Techniken in Bezug auf eine Fertigung von Halbleitereinheiten und integrierten Schaltkreisen (ICs) im Detail hierin beschrieben werden oder nicht beschrieben werden. Darüber hinaus können die verschiedenen Maßnahmen und Prozessschritte, die hierin beschrieben sind, in ein umfassenderes Verfahren oder einen umfassenderen Prozess mit zusätzlichen Schritten oder einer zusätzlichen Funktionalität integriert werden, die hierin nicht im Detail beschrieben sind. Insbesondere sind verschiedene Schritte bei der Herstellung von Halbleitereinheiten und ICs auf Grundlage von Halbleitern allgemein bekannt, und so werden im Interesse der Kürze viele herkömmliche Schritte hierin nur kurz erwähnt oder werden insgesamt weggelassen, ohne die allgemein bekannten Prozessdetails bereitzustellen.
  • Sich nunmehr einer Übersicht über Technologien zuwendend, die für Aspekte der vorliegenden Erfindung spezifischer relevant sind, werden ICs in einer Reihe von Stufen hergestellt, die eine Front-End-of-Line(FEOL)-Stufe, eine Middle-of-Line(MOL)-Stufe und eine Back-End-of-Line(BEOL)-Stufe umfassen. Die Prozessabläufe für ein Herstellen moderner ICs werden häufig auf Grundlage dessen bezeichnet, ob die Prozessabläufe in die FEOL-Stufe, die MOL-Stufe oder die BEOL-Stufe fallen. Im Allgemeinen handelt es sich bei der FEOL-Stufe um eine Stufe, in der Elemente von Einheiten (z.B. Transistoren, Kondensatoren, Widerstände etc.) in dem Halbleitersubstrat/-Wafer strukturiert werden. Die Prozesse der FEOL-Stufe umfassen Wafer-Herstellung, Trennung, Gate-Strukturierung sowie die Bildung von Mulden, Source-/Drain(S/D)-Bereichen, Erweiterungsverbindungsstellen, Silicid-Bereichen sowie Überzügen. Die MOL-Stufe umfasst üblicherweise Prozessabläufe für ein Bilden der Kontakte (z.B. CA) und weiterer Anordnungen, die aktive Bereiche (z.B. Gate, Source und Drain) des Bauelements kommunikativ koppeln. Die Silicidierung von Source-/Drain-Bereichen ebenso wie die Abscheidung von Metallkontakten können zum Beispiel während der MOL-Stufe stattfinden, um die Elemente zu verbinden, die während der FEOL-Stufe strukturiert wurden. Über diesen logischen und funktionellen Schichten werden während der BEOL-Stufe Schichten von Zwischenverbindungen gebildet (z.B. Metallisierungsschichten), um den IC fertigzustellen. Die meisten ICs benötigen mehr als eine Schicht von Drähten, um sämtliche notwendigen Verbindungen zu bilden, und in dem BEOL-Prozess werden bis zu 5 bis 12 Schichten hinzugefügt. Die verschiedenen BEOL-Schichten werden mittels Durchkontakten miteinander verbunden, die eine Kopplung von einer Schicht zu einer anderen herstellen.
  • In den Schichten eines IC werden durchgehend isolierende dielektrische Materialien verwendet, um eine Vielzahl von Funktionen durchzuführen, die ein Stabilisieren der IC-Struktur und ein Bereitstellen einer elektrischen Trennung der IC-Elemente umfassen. Zum Beispiel werden die Metall-Zwischenverbindungsdrähte in dem BEOL-Bereich des IC durch dielektrische Schichten getrennt, um zu verhindern, dass die Drähte einen Kurzschluss mit anderen Metallschichten erzeugen.
  • Die anhaltende Skalierung von Halbleitereinheiten hat zu schwierigen Fertigungsanforderungen geführt, insbesondere dann, wenn immer kleinere Metallisierungsschichten gefertigt werden. Im Bemühen, diese Skalierungs-Forderungen zu erfüllen, wurden hochentwickelte Masken eingesetzt, die Phasenverschiebung und optische Nahbereichskorrektur enthalten. Diese Techniken sind jedoch Überlagerungsfehlern zwischen Elementen in der Zwischenverbindungsanordnung unterworfen, die in der endgültigen Einheit zu Zuverlässigkeitsproblemen führen können. Überlagerungsfehler resultieren aus einer Fehlausrichtung während des Lithographie-Prozesses, wenn die Maske in Bezug auf die darunterliegende Struktur invariabel fehlausgerichtet wird. Wenngleich Überlagerungsfehler durch Nachbessern der Lithographie-Vorgänge abgeschwächt werden können, ist ein gewisser Grad eines Überlagerungsfehlers unvermeidbar, so dass dies in Fehlausrichtungen von Elementen zwischen den Metallisierungsschichten resultiert.
  • Eine der Herausforderungen bei der Fertigung von hochentwickelten Metallisierungsschichten besteht in der Schwierigkeit, ohne irgendeine Schwankung der kritischen Abmessung (CD) der Durchkontakte gleichmäßige Durchkontakte an Leitungsenden zu bilden (sogenannte obere Durchkontakte). Die CD eines Elements (einer Zwischenverbindungsleitung, eines Kontakts, eines Durchkontakts, eines Grabens etc.) bezieht sich auf die kleinste geometrische Abmessung für jenes Element, die gebildet werden kann (z.B. bezieht sich die CD für einen Durchkontakt auf die Breite des Durchkontakts). Die Gleichmäßigkeit der CD eines Durchkontakts ist, wenn eine Ausrichtung des Durchkontakts unter Verwendung von Lithographie erfolgt, aufgrund der vorher erörterten Einschränkungen der Lithographie besonders schwierig zu erreichen. Insbesondere kann eine begrenzte Überlagerungsverschiebung (eine Fehlausrichtung) verursachen, dass ein Durchkontakt entweder von einem Zielleitungsende weg bewegt oder durch das Leitungsende abgeschnitten wird, so dass eine Reduktion der CD bei dem Durchkontakt verursacht wird. Da die Überlagerungsverschiebung von Durchkontakt zu Durchkontakt nicht exakt die gleiche ist (einige werden von ihren jeweiligen Zielleitungen weg bewegt, während andere um unterschiedliche Beträge abgeschnitten werden), gibt es häufig Schwankungen der CDs von Durchkontakten.
  • Sich nunmehr einer Übersicht über Aspekte der vorliegenden Erfindung zuwendend, befassen sich eine oder mehrere Ausführungsformen der Erfindung mit den vorstehend beschriebenen Schwachpunkten des Stands der Technik, indem eine neuartige Halbleiteranordnung und ein neuartiges Verfahren für ein Anordnen von selbstausgerichteten oberen Durchkontakten an Leitungsenden durch Unterstützen des selektiven Aufwachsens einer Durchkontaktmaske aus einem Leitungseinschnitt-Dielektrikum bereitgestellt werden.
  • Bei einigen Ausführungsformen der Erfindung werden Leitungselemente (Metallleitungen und Leitungshartmasken) zunächst unter Verwendung von Lithographie und Ätzen eingeschnitten. Die Einschnitte werden dann mit einem Host-Material gefüllt, das für einen nachfolgenden selektiven Aufwachsprozess ausgewählt wird. Das Host-Material wird des Weiteren so gewählt, dass es nicht dielektrisch und nass entfernbar ist (z.B. TiN oder TiOx). Wie hierin verwendet, bezieht sich ein „nass entfernbares“ Material auf ein Material, dass unter Verwendung eines nassen Ätzvorgangs entfernt werden kann. Eine lithographisch strukturierte Maske wird verwendet, um Leitungen zu bedecken, die an einem oder beiden Leitungsenden einen Durchkontakt aufweisen werden, und an den durch die Maske freigelegten Leitungen wird ein Wachstumsinhibitor angebracht. Der Wachstumsinhibitor haftet auf freigelegten Dielektrika, jedoch nicht auf dem Host-Material (z.B. ein Metall, das ein Einschnittfüllmaterial enthält), so dass eine Oberfläche des Host-Materials freiliegend belassen wird. Auf dem freiliegenden Host-Material wird eine selektiv aufgewachsene Durchkontaktmaske gebildet (abgeschieden).
  • Bei der Durchkontaktmaske kann es sich um ein Metall oder ein Dielektrikum handeln (in Abhängigkeit von dem Host-Material, z.B. TaN, TiN, Ta, Ti, W etc.), das unter Verwendung einer exakten Anzahl von Aufwachszyklen aufgewachsen wird, die zum Anvisieren einer spezifischen Durchkontaktabmessung gewählt wird. Der Wachstumsinhibitor verhindert, dass das Durchkontaktmaskenmaterial an Leitungsenden aufwächst, an denen ein Durchkontakt nicht benötigt wird. Der Wachstumsinhibitor wird entfernt, und die Leitungen werden selektiv in Bezug auf die Durchkontaktmaske zurückgesetzt (z.B. geätzt), um selbstausgerichtete obere Durchkontakte an einem oder mehreren Leitungsenden zu bilden. Die Durchkontaktmaske, die Leitungshartmaske und das Host-Material werden danach entfernt und durch Dielektrika ersetzt.
  • Vorteilhafterweise können Durchkontakte, die unter Verwendung des selektiven Aufwachsens einer Durchkontaktmaske auf diese Weise gebildet werden, in Bezug auf das eine Ende oder beide Enden der Metallleitungen selbstausgerichtet sein. Die CD-Schwankungen der Durchkontakte, die ansonsten durch eine lithographische Ausrichtung des Durchkontakts in Bezug auf einen zuvor gebildeten Leitungseinschnitt entstehen, werden vermieden. Stattdessen wird die CD des Durchkontakts an jedem Leitungsende durch das Maß eines lateralen Wachstums der Durchkontaktmaske gesteuert, die unter Verwendung einer exakten Anzahl von Aufwachszyklen gut gesteuert werden kann, um eine spezifische Durchkontaktabmessung anzuvisieren. Dadurch wird die Fertigungspräzision für obere Durchkontakte beträchtlich verbessert, wobei sogar obere Durchkontakte an äußersten Enden von Leitungen ermöglicht werden (was bei Verwenden der herkömmlichen Lithographie nicht möglich ist). Mit anderen Worten stellt die vorliegende Erfindung obere Durchkontakte bereit, die invariante Durchkontaktbreiten aufweisen (eine invariante kritische Abmessung, die lithographischen Schwankungen nicht unterworfen ist).
  • Sich nunmehr einer detaillierteren Beschreibung von Aspekten der vorliegenden Erfindung zuwendend, stellen die 1 bis 11 Querschnittsansichten einer Zwischenverbindungsanordnung 100 nach einem anfänglichen Satz von Fertigungsvorgängen gemäß einer oder mehreren Ausführungsformen der Erfindung dar. In 1 wurden bekannte Fertigungsvorgänge verwendet, um die Zwischenverbindungsanordnung 100 derart zu bilden, dass sie ein Leitungselement 102, eine Leitungshartmaske 104 sowie Gräben 106 aufweist, die konfiguriert und angeordnet sind, wie gezeigt. Wenngleich der Einfachheit der Erörterung halber nicht gezeigt, kann es sich bei dem Leitungselement 102 um eine von vielen Leitungen in einer Metallisierungsschicht einer Zwischenverbindungsanordnung handeln. Die hierin in Bezug auf das Leitungselement 102 beschriebenen Prozesse können dazu verwendet werden, in jeder dieser Metallisierungsschichten selbstausgerichtete Durchkontakte zu erzeugen.
  • Bei einigen Ausführungsformen der Erfindung weist das Leitungselement 102 ein leitfähiges Material auf, das unter Verwendung von bekannten BEOL-Prozessen in einem Graben einer Metallisierungsschicht gebildet oder abgeschieden wird. Bei einigen Ausführungsformen der Erfindung wird das Leitungselement 102 über eine Oberfläche des Grabens hinaus überfüllt (nicht gezeigt), wobei Überladungen gebildet werden, die unter Verwendung zum Beispiel eines chemisch-mechanischen Planarisierungs(CMP)-Prozesses entfernt werden können. Das Leitungselement 102 kann aus irgendeinem geeigneten leitenden Material hergestellt werden, wie zum Beispiel aus einem Metall (z.B. aus Wolfram, Titan, Tantal, Ruthenium, Zirconium, Cobalt, Kupfer, Aluminium, Platin), Legierungen derselben (wie beispielsweise AICu, CuMn, CuTi oder dergleichen), aus einem leitenden metallischen Verbindungsmaterial (z.B. Tantalnitrid, Titannitrid, Tantalcarbid, Titancarbid, Titanaluminiumcarbid, Wolframsilicid, Wolframnitrid, Cobaltsilicid, Nickelsilicid), aus einem leitfähigen Kohlenstoff oder irgendeiner Kombination dieser Materialien. Bei einigen Ausführungsformen der Erfindung handelt es sich bei dem Leitungselement 102 um eine Ruthenium-Leitung. Das Leitungselement 102 kann zum Beispiel unter Verwendung von CVD, PECVD, PVD, Sputtern, Plattieren, einer Abscheidung aus einer chemischen Lösung und stromlosem Plattieren gebildet oder abgeschieden werden.
  • Bei einigen Ausführungsformen der Erfindung wird die Leitungshartmaske 104 auf einer oberen Oberfläche des Leitungselements 102 abgeschieden oder gebildet. Die Leitungshartmaske 104 kann unter Verwendung irgendeines geeigneten Prozesses gebildet werden, wie beispielsweise unter Verwendung chemischer Gasphasenabscheidung (CVD), plasmaunterstützter CVD (PECVD), chemischer Gasphasenabscheidung bei einem ultrahohen Vakuum (UHVCVD), einer schnellen thermischen chemischen Gasphasenabscheidung (RTCVD), einer metallorganischen chemischen Gasphasenabscheidung (MOCVD), chemischer Gasphasenabscheidung bei einem niedrigen Druck (LPCVD), CVD mit einem beschränkten Reaktionsprozessablauf (LRPCVD), atomarer Schichtabscheidung (ALD), unter Verwendung einer fließfähigen CVD, von Aufschleuder-Dielektrika, physikalischer Gasphasenabscheidung (PVD), Molekularstrahlepitaxie (MBE), Abscheidung aus einer chemischen Lösung, oder eines anderen ähnlichen Prozesses. Die Leitungshartmaske 104 kann aus irgendeinem geeigneten dielektrischen Material hergestellt werden, wie zum Beispiel einem Dielektrikum mit einem niedrigen k, einem Nitrid, Siliciumnitrid, Siliciumoxid, SiON, SiC, SiOCN oder SiBCN. Bei einigen Ausführungsformen der Erfindung handelt es sich bei der Leitungshartmaske 104 um eine Siliciumnitrid- oder Siliciumoxid-Hartmaske. Bei einigen Ausführungsformen der Erfindung wird die Leitungshartmaske 104 mit einer Dicke von etwa 10 nm bis etwa 60 nm gebildet, zum Beispiel 30 nm, wenngleich weitere Dicken innerhalb des in Betracht gezogenen Umfangs der Erfindung liegen.
  • Bei einigen Ausführungsformen der Erfindung wird die Leitungshartmaske 104 über einer Mehrzahl von Leitungselementen gebildet (einschließlich des Leitungselements 102). Bei einigen Ausführungsformen der Erfindung wird die Leitungshartmaske 104 aus einem gleichen Material auf sämtlichen der Leitungselemente gebildet. Bei einigen Ausführungsformen der Erfindung können geradzahlige oder ungeradzahlige Leitungselemente ein gleiches oder ein unterschiedliches Material für die Leitungshartmaske 104 aufweisen. Zum Beispiel kann eine geradzahlige Leitung SiN aufweisen, und eine ungeradzahlige Leitung kann SiOx/SoG aufweisen, oder umgekehrt.
  • Wie des Weiteren in 1 gezeigt, können Teilbereiche des Leitungselements 102 und der Leitungshartmaske 104 unter Verwendung eines lithographischen Prozesses entfernt (eingeschnitten oder strukturiert) werden, um einen Graben oder mehrere Gräben 106 zu bilden. Bei einigen Ausführungsformen der Erfindung werden Teilbereiche des Leitungselements 102 und der Leitungshartmaske 104 unter Verwendung eines Nassätzvorgangs, eines Trockenätzvorgangs oder einer Kombination von sequentiellen Nass-und/oder Trockenätzvorgängen entfernt. Bei einigen Ausführungsformen der Erfindung werden Teilbereiche des Leitungselements 102 und der Leitungshartmaske 104 unter Verwendung von reaktivem lonenätzen (RIE) entfernt. Die Positionierung der Gräben 106 (der Leitungseinschnitte) definiert ein Leitungsende oder mehrere Leitungsenden des Leitungselements 102. Wie hierin verwendet, bezieht sich ein Leitungsende auf den Teilbereich (die Seitenwand) eines Leitungselements, der (die) sich direkt benachbart zu einem Einschnitt befindet (z.B. den Gräben 106).
  • 2 stellt eine Querschnittsansicht der Zwischenverbindungsanordnung 100 nach einem Bearbeitungsvorgang gemäß einer oder mehreren Ausführungsformen der Erfindung dar. Bei einigen Ausführungsformen der Erfindung werden die Gräben 106 mit einem Host-Material 202 gefüllt. Wie zuvor erörtert, kann das Host-Material so gewählt werden, dass es eine geeignete Oberfläche für einen nachfolgenden selektiven Aufwachsprozess bereitstellt (6).
  • Bei einigen Ausführungsformen der Erfindung wird das Host-Material 202 des Weiteren so gewählt, dass es nicht dielektrisch und nass entfernbar ist. Bei einigen Ausführungsformen der Erfindung weist das Host-Material 202 TiN, TiOx, TaN etc. auf. Bei einigen Ausführungsformen der Erfindung wird das Host-Material 202 über eine Oberfläche der Leitungshartmaske 104 hinaus überfüllt, wobei Überladungen gebildet werden, die zum Beispiel unter Verwendung von CMP entfernt werden können. Das Host-Material 202 kann zum Beispiel unter Verwendung von CVD, PECVD, PVD, Sputtern, Plattieren, einer Abscheidung aus einer chemischen Lösung und von stromlosem Plattieren in dem Graben 106 gebildet oder abgeschieden werden.
  • 3 stellt eine Querschnittsansicht der Zwischenverbindungsanordnung 100 nach einem Bearbeitungsvorgang gemäß einer oder mehreren Ausführungsformen der Erfindung dar. Bei einigen Ausführungsformen der Erfindung wird eine lithographisch strukturierte Maske 302 über der Leitungshartmaske 104 gebildet. Bei einigen Ausführungsformen der Erfindung wird die lithographisch strukturierte Maske 302 so strukturiert, dass sie lediglich Teilbereiche des Leitungselements 102 in der Nähe eines Leitungsendes bedeckt, an dem ein Durchkontakt gewünscht ist.
  • 4 stellt eine Querschnittsansicht der Zwischenverbindungsanordnung 100 nach einem Bearbeitungsvorgang gemäß einer oder mehreren Ausführungsformen der Erfindung dar. Bei einigen Ausführungsformen der Erfindung wird ein Wachstumsinhibitor 402 auf Teilbereichen der Leitungshartmaske 104 gebildet, die durch die lithographisch strukturierte Maske 302 freiliegen. Bei einigen Ausführungsformen der Erfindung wird das Wachstumsinhibitor-Material derart gewählt, dass sich der Wachstumsinhibitor 402 lediglich auf freiliegenden Dielektrika bildet. Mit anderen Worten kann der Wachstumsinhibitor 402 selektiv auf freiliegenden Teilbereichen der Leitungshartmaske 104 gebildet werden. Bei einigen Ausführungsformen der Erfindung handelt es sich bei dem Host-Material 202 um ein nicht dielektrisches Material, und der Wachstumsinhibitor 402 belässt eine Oberfläche des Host-Materials 202 freiliegend.
  • Bei einigen Ausführungsformen der Erfindung wird sich der Wachstumsinhibitor 402 während eines Aufschleuder-Beschichtungsprozesses auf einer Oberfläche der Leitungshartmaske 104 aufbauen. Beispiele für Materialien, die nicht mit Metalloberflächen binden, die jedoch auf Dielektrika abgeschieden werden können, umfassen selbstorganisierte Monoschichten (z.B. eine Kohlenstoffkette C6-C-18) oder Polymerbürsten-Materialien (mit einem Molekulargewicht von 1 k bis 10 k), die mit einer Hydroxyl- oder Amin-Funktionalität ausgelegt sind.
  • 5 stellt eine Querschnittsansicht der Zwischenverbindungsanordnung 100 nach einem Bearbeitungsvorgang gemäß einer oder mehreren Ausführungsformen der Erfindung dar. Bei einigen Ausführungsformen der Erfindung wird die lithographisch strukturierte Maske 302 entfernt, um eine Oberfläche der Leitungshartmaske 104 freizulegen.
  • Bei einigen Ausführungsformen der Erfindung wird die lithographisch strukturierte Maske 302 unter Verwendung eines Nassätzvorgangs, eines Trockenätzvorgangs, einer Kombination von sequentiellen Nass- und/oder Trockenätzvorgängen oder eines lithographischen Ablöseprozesses entfernt. Bei einigen Ausführungsformen der Erfindung wird die lithographisch strukturierte Maske 302 selektiv in Bezug auf den Wachstumsinhibitor 402, die Leitungshartmaske 104 und/oder das Host-Material 202 entfernt.
  • 6 stellt eine Querschnittsansicht der Zwischenverbindungsanordnung 100 nach einem Bearbeitungsvorgang gemäß einer oder mehreren Ausführungsformen der Erfindung dar. Bei einigen Ausführungsformen der Erfindung wird eine Durchkontaktmaske 602 auf einer freigelegten Oberfläche des Host-Materials 202 gebildet (abgeschieden). Bei einigen Ausführungsformen der Erfindung kann es sich bei der Durchkontaktmaske 602 um ein Metall oder ein dielektrisches Material handeln, das unter Verwendung einer exakten Anzahl von Aufwachszyklen aufgewachsen wird, die gewählt wird, um eine spezifische Durchkontaktabmessung anzuvisieren.
  • Bei einigen Ausführungsformen der Erfindung kann die Durchkontaktmaske 602 ein Material aufweisen, das auf dem Wachstumsinhibitor 402 nicht aufwächst. Bei einigen Ausführungsformen der Erfindung kann die Durchkontaktmaske 602 ein Material derart aufweisen, dass die Durchkontaktmaske 602 auf dem Host-Material 202 aufwächst, jedoch nicht auf dem Wachstumsinhibitor 402. Derartige Materialien können in Abhängigkeit von dem Host-Material 202 TaN, TiN, Ta, Ti, W etc. umfassen. Auf diese Weise verhindert der Wachstumsinhibitor 402, dass sich die Durchkontaktmaske 602 an Leitungsenden bildet, an denen ein Durchkontakt nicht benötigt wird.
  • 7 stellt eine Querschnittsansicht der Zwischenverbindungsanordnung 100 nach einem Bearbeitungsvorgang gemäß einer oder mehreren Ausführungsformen der Erfindung dar. Bei einigen Ausführungsformen der Erfindung wird der Wachstumsinhibitor 402 entfernt, um eine Oberfläche der Leitungshartmaske 104 freizulegen.
  • Bei einigen Ausführungsformen der Erfindung wird der Wachstumsinhibitor 402 unter Verwendung eines Nassätzvorgangs, eines Trockenätzvorgangs, einer Kombination von sequentiellen Nass- und/oder Trockenätzvorgängen, eines Ablöseprozesses oder eines Veraschungsprozesses entfernt. Bei einigen Ausführungsformen der Erfindung wird der Wachstumsinhibitor 402 selektiv in Bezug auf die Durchkontaktmaske 602, die Leitungshartmaske 104 und/oder das Host-Material 202 entfernt. Bei einigen Ausführungsformen der Erfindung wird der Wachstumsinhibitor 402 unter Verwendung einer O2/N2-H2-Veraschung selektiv entfernt.
  • 8 stellt eine Querschnittsansicht der Zwischenverbindungsanordnung 100 nach einem Bearbeitungsvorgang gemäß einer oder mehreren Ausführungsformen der Erfindung dar. Bei einigen Ausführungsformen der Erfindung werden Teilbereiche des Leitungselements 102 und der Leitungshartmaske 104, die nicht mit der Durchkontaktmaske 602 bedeckt sind, zur Bildung von einem oder mehreren selbstausgerichteten oberen Durchkontakten 802 an den Leitungsenden zurückgesetzt, an denen eine Bildung der Durchkontaktmaske 602 zugelassen wurde (d.h. an denen kein Blocken durch den Wachstumsinhibitor 402 erfolgte, wie unter Bezugnahme auf die 5 und 6 erörtert).
  • Vorteilhafterweise werden die oberen Durchkontakte 802 durch Zurücksetzen der Oberfläche des Leitungselements 102 selektiv in Bezug auf die Durchkontaktmaske 602 gebildet. Im Ergebnis können an den Leitungsenden obere Durchkontakte mit einem beliebig hohen Aspektverhältnis (definiert als Höhe zu Breite oder H/W) gebildet werden, ohne Schwankungen der kritischen Abmessung zu verursachen. Das Aspektverhältnis kann in einem Bereich von nahezu null (sehr breite Durchkontakte) bis 5 oder sogar 10 oder mehr liegen (sehr hohe Durchkontakte bis zu extrem hohen Durchkontakten mit relativ geringen Breiten).
  • 9 stellt eine Querschnittsansicht der Zwischenverbindungsanordnung 100 nach einem Bearbeitungsvorgang gemäß einer oder mehreren Ausführungsformen der Erfindung dar. Bei einigen Ausführungsformen der Erfindung wird die Durchkontaktmaske 602 entfernt, um eine Oberfläche der Leitungshartmaske 104 und eine Oberfläche des Host-Materials 202 freizulegen. Bei einigen Ausführungsformen der Erfindung wird die Durchkontaktmaske 602 unter Verwendung eines Nassätzvorgangs, eines Trockenätzvorgangs, einer Kombination von sequentiellen Nass- und/oder Trockenätzvorgängen, eines Ablöseprozesses oder eines Veraschungsprozesses entfernt. Bei einigen Ausführungsformen der Erfindung wird die Durchkontaktmaske 602 selektiv in Bezug auf die Leitungshartmaske 104, das Leitungselement 102 und/oder das Host-Material 202 entfernt.
  • 10 stellt eine Querschnittsansicht der Zwischenverbindungsanordnung 100 nach einem Bearbeitungsvorgang gemäß einer oder mehreren Ausführungsformen der Erfindung dar. Bei einigen Ausführungsformen der Erfindung wird die Leitungshartmaske 104 entfernt, um eine Oberfläche der oberen Durchkontakte 802 freizulegen. Bei einigen Ausführungsformen der Erfindung wird die Leitungshartmaske 104 unter Verwendung eines Nassätzvorgangs, eines Trockenätzvorgangs, einer Kombination von sequentiellen Nass-und/oder Trockenätzvorgängen entfernt. Bei einigen Ausführungsformen der Erfindung wird die Leitungshartmaske 104 selektiv in Bezug auf das Leitungselement 102 entfernt.
  • Bei einigen Ausführungsformen der Erfindung verbleibt das Host-Material 202 in der Zwischenverbindungsanordnung 100. Wie in 10 gezeigt, ist das Host-Material 202 jedoch bei einigen Ausführungsformen der Erfindung nicht kompatibel mit dem endgültigen Produkt und wird optional entfernt. Bei einigen Ausführungsformen der Erfindung wird das Host-Material 202 unter Verwendung eines Nassätzvorgangs, eines Trockenätzvorgangs, einer Kombination von sequentiellen Nass- und/oder Trockenätzvorgängen, eines Ablöseprozesses oder eines Veraschungsprozesses entfernt. Bei einigen Ausführungsformen der Erfindung wird das Host-Material 202 selektiv in Bezug auf das Leitungselement 102 entfernt.
  • 11 stellt eine Querschnittsansicht der Zwischenverbindungsanordnung 100 nach einem Bearbeitungsvorgang gemäß einer oder mehreren Ausführungsformen der Erfindung dar. Bei einigen Ausführungsformen der Erfindung kann ein Zwischenschichtdielektrikum 1102 über der Zwischenverbindungsanordnung 100 gebildet werden. Das Zwischenschichtdielektrikum 1102 dient als eine Isolationsstruktur für die Leitungen und Durchkontakte der Zwischenverbindungsanordnung 100. Das Zwischenschichtdielektrikum 1102 kann aus irgendeinem geeigneten dielektrischen Material hergestellt werden, wie zum Beispiel aus Dielektrika mit einem niedrigen k (aus Materialien, die eine niedrige Dielektrizitätskonstante in Bezug auf Siliciumdioxid aufweisen, d.h. niedriger als etwa 3,9), aus Dielektrika mit einem ultraniedrigen k (Materialien, die eine Dielektrizitätskonstante von weniger als 3 aufweisen), aus porösen Silikaten, mit Kohlenstoff dotierten Oxiden, aus Siliciumdioxiden, Siliciumnitriden, Siliciumoxynitriden, Siliciumcarbid (SiC) oder anderen dielektrischen Materialien. Es kann irgendeine bekannte Weise für ein Bilden des Zwischenschichtdielektrikums 1102 verwendet werden, wie zum Beispiel CVD, PECVD, ALD, ein fließfähiges CVD, Aufschleuder-Dielektrika oder PVD. Bei einigen Ausführungsformen der Erfindung wird das Zwischenschichtdielektrikum 1102 über einer oberen Oberfläche der oberen Durchkontakte 802 gebildet und danach zum Beispiel unter Verwendung von CMP bis auf eine Oberfläche der oberen Durchkontakte 802 planarisiert.
  • 12 stellt ein Flussdiagramm 1200 dar, das ein Verfahren für ein Bilden einer Halbleitereinheit gemäß einer oder mehreren Ausführungsformen der Erfindung zeigt. Wie in Block 1202 gezeigt, wird ein Leitungselement in einer Metallisierungsschicht einer Zwischenverbindungsanordnung gebildet. Bei einigen Ausführungsformen der Erfindung weist das Leitungselement eine Leitungshartmaske auf. Bei einigen Ausführungsformen der Erfindung weist das Leitungselement eine Metallleitung auf.
  • In Block 1204 wird ein Graben in dem Leitungselement gebildet, um Leitungsenden des Leitungselements freizulegen. Bei einigen Ausführungsformen der Erfindung weist das Bilden des Grabens ein Entfernen von Teilbereichen des Leitungselements unter Verwendung eines Ätzvorgangs auf.
  • In Block 1206 wird der Graben mit einem Host-Material gefüllt. Bei einigen Ausführungsformen der Erfindung wird das Material auf Grundlage der Durchkontaktmaske (Schritt 1210) gewählt, um sicherzustellen, dass die Durchkontaktmaske selektiv auf einer Oberfläche des Host-Materials aufgewachsen werden kann. Bei einigen Ausführungsformen der Erfindung wird das Host-Material des Weiteren so gewählt, dass es ein nass entfernbares nicht dielektrisches Material aufweist. Bei einigen Ausführungsformen der Erfindung umfasst das Host-Material Titannitrid oder Titanoxid.
  • In Block 1208 wird ein Wachstumsinhibitor über einem ersten Leitungsende des Leitungselements gebildet. Bei einigen Ausführungsformen der Erfindung verhindert der Wachstumsinhibitor ein Aufwachsen der Durchkontaktmaske an dem ersten Leitungsende.
  • In Block 1210 wird eine Durchkontaktmaske über einem zweiten Leitungsende des Leitungselements gebildet. Bei einigen Ausführungsformen der Erfindung wird die Durchkontaktmaske selektiv auf einer freigelegten Oberfläche des Host-Materials aufgewachsen. Bei einigen Ausführungsformen der Erfindung wird die Durchkontaktmaske unter Verwendung einer Anzahl von Aufwachszyklen aufgewachsen, die so gewählt wird, dass eine vorgegebene Abmessung des oberen Durchkontakts anvisiert wird.
  • In Block 1212 werden von der Durchkontaktmaske nicht bedeckte Teilbereiche des Leitungselements zurückgesetzt, um einen selbstausgerichteten oberen Durchkontakt an dem zweiten Leitungsende zu definieren. Bei einigen Ausführungsformen der Erfindung wird das Leitungselement derart zurückgesetzt, dass eine Seitenwand des oberen Durchkontakts koplanar mit einer Seitenwand des zweiten Leitungsendes ist. Bei einigen Ausführungsformen der Erfindung wird eine Mehrzahl von oberen Durchkontakten auf einer jeweiligen Mehrzahl von Leitungsenden des Leitungselements gebildet. Bei einigen Ausführungsformen der Erfindung weist jeder der oberen Durchkontakte eine Seitenwand auf, die koplanar mit einem jeweiligen Leitungsende ist. Bei einigen Ausführungsformen der Erfindung sind ein oder mehrere der oberen Durchkontakte über ihren jeweiligen Leitungsenden derart positioniert, dass drei ihrer Ebenen koplanar sind. Mit anderen Worten können zwei gegenüberliegende Seitenwände und die Oberfläche, die der Endwand des oberen Durchkontakts entspricht, koplanar mit den gegenüberliegenden Seitenwänden und der Endwand des Leitungsendes sein.
  • Das Verfahren kann des Weiteren ein Bilden einer lithographischen Maske über der Leitungshartmaske aufweisen. Die lithographische Maske kann so strukturiert werden, dass das erste Leitungsende freigelegt wird. Bei einigen Ausführungsformen der Erfindung wird der Wachstumsinhibitor auf dem freigelegten ersten Leitungsende gebildet. Bei einigen Ausführungsformen der Erfindung baut sich der Wachstumsinhibitor an einer Oberfläche der Leitungshartmaske auf, jedoch nicht an einer Oberfläche des Host-Materials, so dass eine Oberfläche des Host-Materials freiliegend belassen wird.
  • Bei einigen Ausführungsformen der Erfindung werden die Durchkontaktmaske, die Leitungshartmaske und das Host-Material durch ein Zwischenschichtdielektrikum ersetzt. Bei einigen Ausführungsformen der Erfindung wird der Wachstumsinhibitor vor einem Zurücksetzen von Teilbereichen des Leitungselements entfernt.
  • 13 stellt ein Flussdiagramm 1300 dar, das ein Verfahren für ein Bilden einer Halbleitereinheit gemäß einer oder mehreren Ausführungsformen der Erfindung zeigt. Wie in Block 1302 gezeigt, wird ein Leitungselement in einer Metallisierungsschicht gebildet. Bei einigen Ausführungsformen der Erfindung weist das Leitungselement zwei oder mehr Leitungsenden auf. In Block 1304 wird ein Bereich zwischen einem ersten Leitungsende und einem zweiten Leitungsende mit einem Host-Material gefüllt.
  • In Block 1306 wird ein Wachstumsinhibitor über dem ersten Leitungsende gebildet. Bei einigen Ausführungsformen der Erfindung weist der Wachstumsinhibitor eine selbstorganisierte Monoschicht auf. Bei einigen Ausführungsformen der Erfindung weist die selbstorganisierte Monoschicht eine C6-C-18-Kohlenstoffkette auf. Bei einigen Ausführungsformen der Erfindung weist der Wachstumsinhibitor ein Polymer mit einem Molekulargewicht von etwa 1 bis etwa 10.000 auf. Bei einigen Ausführungsformen der Erfindung weist das Polymer funktionelle Hydroxyl- oder Amin-Gruppen auf.
  • In Block 1308 wird eine Durchkontaktmaske selektiv über einer freigelegten Oberfläche des Host-Materials aufgewachsen. In Block 1301 werden nicht mit der Durchkontaktmaske bedeckte Teilbereiche des Leitungselements zurückgesetzt, um einen selbstausgerichteten oberen Durchkontakt an dem zweiten Leitungsende zu definieren.
  • Die hierin beschriebenen Verfahren und resultierenden Strukturen können bei der Fertigung von IC-Chips verwendet werden. Die resultierenden IC-Chips können von dem Hersteller in Rohwaferform (das heißt, als ein einzelner Wafer, der mehrere unverpackte Chips aufweist), als ein blanker Chip oder in einer gepackten Form vertrieben werden. Im letzteren Fall ist der Chip in einer Einzelchip-Packung (wie beispielsweise einem Kunststoffträger mit Leitungen, die an einer Hauptplatine oder einem anderen übergeordneten Träger befestigt sind) oder in einer Multi-Chip-Packung montiert (wie beispielsweise einem keramischen Träger, der entweder Oberflächenzwischenverbindungen oder eingebettete Zwischenverbindungen oder beide aufweist). In jedem Fall ist der Chip dann mit anderen Chips, diskreten Schaltungselementen und/oder anderen signalverarbeitenden Einheiten als Teil entweder (a) eines Zwischenprodukts, wie beispielsweise einer Hauptplatine, oder (b) eines Endprodukts integriert. Bei dem Endprodukt kann es sich um irgendein Produkt, das IC-Chips aufweist, in einem Bereich von Spielwaren und anderen Low-End-Anwendungen bis zu hochentwickelten Computerprodukten handeln, die ein Display, eine Tastatur oder eine andere Eingabevorrichtung sowie einen zentralen Prozessor aufweisen.
  • Hierin sind verschiedene Ausführungsformen der vorliegenden Erfindung unter Bezugnahme auf die zugehörigen Zeichnungen beschrieben. Alternative Ausführungsformen können konzipiert werden, ohne von dem Umfang dieser Erfindung abzuweichen. Wenngleich in der folgenden Beschreibung und in den Zeichnungen verschiedene Verbindungen und positionelle Beziehungen (z.B. über, unter, benachbart etc.) zwischen Elementen dargelegt sind, erkennt ein Fachmann, dass viele der hierin beschriebenen positionellen Beziehungen unabhängig von der Orientierung sind, wenn die beschriebene Funktionalität aufrechterhalten wird, auch wenn die Orientierung geändert wird. Diese Verbindungen und/oder positionellen Beziehungen können, wenn nichts anderes spezifiziert ist, direkt oder indirekt sein, und die vorliegende Erfindung soll in dieser Hinsicht nicht beschränkend sein. In einer ähnlichen Weise beschreibt der Begriff „gekoppelt“ und Variationen desselben, dass ein Verbindungspfad zwischen zwei Elementen vorliegt, und impliziert nicht eine direkte Verbindung zwischen den Elementen ohne dazwischenliegende Elemente/Verbindungen zwischen diesen. Sämtliche dieser Variationen werden als ein Teil der Beschreibung betrachtet. Dementsprechend kann sich eine Kopplung von Entitäten entweder auf eine direkte oder eine indirekte Kopplung beziehen, und bei einer positionellen Beziehung zwischen Entitäten kann es sich um eine direkte oder eine indirekte positionelle Beziehung handeln. Als ein Beispiel für eine indirekte positionelle Beziehung weisen Bezugnahmen in der vorliegenden Beschreibung auf ein Bilden einer Schicht „A“ über einer Schicht „B“ Situationen auf, in denen sich eine oder mehrere dazwischenliegende Schicht(en) (z.B. eine Schicht „C“) zwischen der Schicht „A“ und der Schicht „B“ befindet/befinden, solange die relevanten Eigenschaften und Funktionalitäten der Schicht „A“ und der Schicht „B“ durch die dazwischenliegende(n) Schicht(en) nicht wesentlich geändert werden.
  • Zur Interpretation der Ansprüche und der Beschreibung sind die folgenden Definitionen und Abkürzungen zu verwenden. Wie hierin verwendet, sollen die Begriffe „weist auf“, „aufweisend“, „umfasst“, „umfassend“, „weist auf“, „aufweisend“, „enthält“ oder „enthaltend“ oder irgendeine andere Variation derselben eine nicht ausschließende Inklusion abdecken. Zum Beispiel ist eine Zusammensetzung, ein Gemisch, ein Prozess, ein Verfahren, ein Gegenstand oder eine Vorrichtung, die eine Auflistung von Elementen aufweist, nicht zwangsläufig nur auf diese Elemente beschränkt, sondern kann weitere Elemente umfassen, die nicht ausdrücklich aufgelistet sind oder die einer derartigen Zusammensetzung, einem derartigen Gemisch, einem derartigen Prozess, einem derartigen Verfahren, einem derartigen Gegenstand oder einer derartigen Vorrichtung inhärent sind.
  • Darüber hinaus wird der Begriff „exemplarisch“ hierin so verwendet, dass er die Bedeutung „als ein Exempel, ein Beispiel oder eine Darstellung dienend“ aufweist. Jede Ausführungsform oder jede Auslegung, die hierin als „beispielhaft“ beschrieben ist, ist nicht zwangsläufig als bevorzugt oder vorteilhaft gegenüber anderen Ausführungsformen oder Auslegungen auszulegen. Die Begriffe „zumindest ein/eine“ und „ein/eine oder mehrere“ sind so zu verstehen, dass sie irgendeine ganze Zahl größer als oder gleich eins umfassen, d.h. eins, zwei, drei, vier etc. Der Begriff „eine Mehrzahl“ ist so zu verstehen, dass er irgendeine ganze Zahl größer als oder gleich zwei umfasst, d.h. zwei, drei, vier, fünf etc. Der Begriff „Verbindung“ kann eine indirekte „Verbindung“ oder eine direkte „Verbindung“ umfassen.
  • Bezugnahmen in der Beschreibung auf „die eine Ausführungsform“, „eine Ausführungsform“, „eine beispielhafte Ausführungsform“ etc. weisen darauf hin, dass die beschriebene Ausführungsform ein spezielles Merkmal, eine spezielle Struktur oder eine spezielle Eigenschaft aufweisen kann, dass jedoch jede Ausführungsform das spezielle Merkmal, die spezielle Struktur oder die spezielle Eigenschaft aufweisen kann oder nicht aufweisen kann. Darüber hinaus beziehen sich derartige Ausdrücke nicht zwangsläufig auf die gleiche Ausführungsform. Wenn des Weiteren ein spezielles Merkmal, eine spezielle Struktur oder eine spezielle Eigenschaft in Verbindung mit einer Ausführungsform beschrieben ist, wird übermittelt, dass es innerhalb der Kenntnis eines Fachmanns liegt, ein derartiges Merkmal, eine derartige Struktur oder eine derartige Eigenschaft in Verbindung mit anderen Ausführungsformen zu beeinflussen, ob dies explizit beschrieben ist oder nicht.
  • Zu Zwecken der Beschreibung beziehen sich im Folgenden die Begriffe „oberer/obere/oberes“, „unterer/untere/unteres“, „rechts“, „links“, „vertikal“, „horizontal“, „oben“, „unten“ sowie Ableitungen derselben auf die beschriebenen Strukturen und Verfahren, wie sie in den Zeichnungsfiguren orientiert sind. Die Begriffe „darüberliegend“, „oben auf“, „auf der Oberseite“, „positioniert auf“ oder „positioniert oben auf“ bedeuten, dass ein erstes Element, wie beispielsweise eine erste Struktur, auf einem zweiten Element vorhanden ist, wie beispielsweise auf einer zweiten Struktur, wobei dazwischenliegende Elemente, wie beispielsweise eine Grenzflächenstruktur, zwischen dem ersten Element und dem zweiten Element vorhanden sein können. Der Begriff „direkter Kontakt“ bedeutet, dass ein erstes Element, wie beispielsweise eine erste Struktur, und ein zweites Element, wie beispielsweise eine zweite Struktur, ohne irgendwelche dazwischenliegende leitende Schichten, isolierende Schichten oder Halbleiterschichten an der Grenzfläche zwischen den zwei Elementen verbunden sind.
  • Räumlich relative Begriffe, z.B. „unterhalb“, „unter“, „unten“, „oberhalb“, „über“ und dergleichen, werden hierin der Einfachheit der Beschreibung halber verwendet, um eine Beziehung von einem Element oder einem Merkmal zu einem anderen Element (zu anderen Elementen) oder zu einem anderen Merkmal (zu anderen Merkmalen) zu beschreiben, wie in den Figuren dargestellt. Es versteht sich, dass die räumlich relativen Begriffe verschiedene Orientierungen der Einheit bei Verwendung oder im Betrieb zusätzlich zu der in den Figuren dargestellten Orientierung umfassen sollen. Wenn die Einheit in den Figuren zum Beispiel umgedreht wird, sind Elemente, die als „unter“ anderen oder „unterhalb“ anderer Elemente(n) oder Merkmale(n) beschrieben sind, dann „oberhalb“ der anderen Elemente oder Merkmale orientiert. Somit kann der Begriff „unter“ sowohl eine Orientierung oberhalb von als auch eine Orientierung unterhalb von umfassen. Die Einheit kann in anderer Weise orientiert sein (z.B. um 90 Grad oder in anderen Orientierungen gedreht), und die hierin beschriebenen räumlich relativen Deskriptoren sind dementsprechend zu interpretieren.
  • Die Begriffe „etwa“, „im Wesentlichen“, „ungefähr“ und Variationen derselben sollen den Grad eines Fehlers aufweisen, der mit einer Messung der speziellen Quantität auf Grundlage der zum Zeitpunkt der Einreichung der Anmeldung zur Verfügung stehenden Geräte verknüpft ist. „Etwa“ kann zum Beispiel einen Bereich von ± 8 % oder 5 % oder 2 % eines gegebenen Werts umfassen.
  • Der Ausdruck „selektiv in Bezug auf“, wie zum Beispiel „ein erstes Element selektiv in Bezug auf ein zweites Element“, bedeutet, dass das erste Element geätzt werden kann und das zweite Element als ein Ätzstopp wirken kann.
  • Der Begriff „konform“ (z.B. eine konforme Schicht oder eine konforme Abscheidung) bedeutet, dass die Dicke der Schicht auf sämtlichen Oberflächen im Wesentlichen die gleiche ist, oder dass die Dickenschwankung geringer als 15 % der nominellen Dicke der Schicht ist.
  • Mit den Begriffen „epitaxiales Aufwachsen und/oder epitaxiale Abscheidung“ sowie „epitaxial gebildet und/oder aufgewachsen“ ist das Aufwachsen eines Halbleitermaterials (eines kristallinen Materials) auf einer Abscheidungsoberfläche eines anderen Halbleitermaterials (eines anderen kristallinen Materials) gemeint, wobei das Halbleitermaterial, das aufgewachsen wird (kristalline darüberliegende Schicht), im Wesentlichen die gleichen kristallinen Eigenschaften wie das Halbleitermaterial der Abscheidungsoberfläche (das Kristallkeimmaterial) aufweist. Bei einem epitaxialen Abscheidungsprozess können die chemischen Reaktanten gesteuert werden, die von den Quellengasen bereitgestellt werden, und die Systemparameter können so vorgegeben werden, dass die Atome, die abgeschieden werden, mit einer ausreichenden Energie an der Abscheidungsoberfläche des Halbleitersubstrats ankommen, so dass sie sich derart über die Oberfläche hinweg bewegen, dass sich die Atome, die abgeschieden werden, in Bezug auf die Kristallanordnung der Atome der Abscheidungsoberfläche selbst ausrichten. Ein epitaxial aufgewachsenes Halbleitermaterial kann im Wesentlichen die gleichen kristallinen Eigenschaften wie die Abscheidungsoberfläche aufweisen, auf der das epitaxial aufwachsende Material gebildet wird. Zum Beispiel kann ein epitaxial aufwachsendes Halbleitermaterial, das auf einer {100}-orientierten kristallinen Oberfläche abgeschieden wird, eine {100}-Orientierung annehmen. Bei einigen Ausführungsformen der Erfindung können epitaxiale Aufwachs- und/oder Abscheidungsprozesse selektiv in Bezug auf ein Bilden auf einer Halbleiteroberfläche sein und können ein Material auf freigelegten Oberflächen, wie beispielsweise Siliciumdioxid- oder Siliciumnitrid-Oberflächen, abscheiden oder nicht abscheiden.
  • Wie vorstehend hierin angemerkt, können der Kürze halber herkömmliche Techniken in Bezug auf eine Fertigung von Halbleitern und integrierten Schaltungen (ICs) hierin im Detail beschrieben werden oder nicht beschrieben werden. Als Hintergrundinformation wird nunmehr jedoch eine allgemeinere Beschreibung der Fertigungsprozesse für Halbleitereinheiten bereitgestellt, die bei einer Realisierung von einer oder mehreren Ausführungsformen der vorliegenden Erfindung eingesetzt werden können. Wenngleich spezifische Fertigungsvorgänge, die bei einer Realisierung von einer oder mehreren Ausführungsformen der vorliegenden Erfindung verwendet werden, einzeln bekannt sein können, ist die beschriebene Kombination von Vorgängen und/oder resultierenden Strukturen der vorliegenden Erfindung spezifisch. Somit nutzt die spezifische Kombination der in Verbindung mit der Fertigung einer Halbleitereinheit gemäß der vorliegenden Erfindung beschriebenen Vorgänge eine Vielzahl von an einem Halbleitersubstrat (z.B. einem Silicium-Substrat) durchgeführten physikalischen und chemischen Prozessen, die einzeln bekannt sind, von denen einige in den unmittelbar folgenden Abschnitten beschrieben werden.
  • Allgemein fallen die verschiedenen Prozesse, die zur Bildung eines Mikro-Chips verwendet werden, der in einen IC gepackt wird, in vier allgemeine Kategorien, und zwar Schichtabscheidung, Entfernung/Ätzen, Halbleiterdotierung sowie Strukturieren/Lithographie. Bei einer Abscheidung handelt es sich um irgendeinen Prozess, bei dem ein Material auf dem Wafer aufgewachsen wird, dieser mit einem Material beschichtet wird oder ein Material auf andere Weise auf diesen transferiert wird. Zur Verfügung stehende Technologien umfassen unter anderem physikalische Gasphasenabscheidung (PVD), chemische Gasphasenabscheidung (CVD), elektrochemische Abscheidung (ECD), Molekularstrahlepitaxie (MBE) und in jüngerer Zeit atomare Schichtabscheidung (ALD). Bei Entfernung/Ätzen handelt es sich um irgendeinen Prozess, bei dem Material von dem Wafer entfernt wird. Beispiele umfassen Ätzprozesse (entweder nass oder trocken) sowie chemisch-mechanische Planarisierung (CMP) und dergleichen. Beim reaktiven lonenätzen (RIE) handelt es sich zum Beispiel um eine Art eines Trockenätzprozesses, bei dem ein chemisch reaktives Plasma verwendet wird, um ein Material zu entfernen, wie beispielweise eine maskierte Struktur eines Halbleitermaterials, indem das Material einem Beschuss mit Ionen ausgesetzt wird, die Teile des Materials von der freigelegten Oberfläche entfernen. Das Plasma wird üblicherweise durch ein elektromagnetisches Feld bei einem geringen Druck (Vakuum) erzeugt. Bei der Halbleiterdotierung handelt es sich um eine Modifikation von elektrischen Eigenschaften durch Dotieren von zum Beispiel Transistor-Sources und -Drains, im Allgemeinen durch Diffusion und/oder durch Ionenimplantation. Diesen Dotierprozessen folgt ein Tempern in einem Ofen oder ein schnelles thermisches Tempern (RTA). Das Tempern dient dazu, die implantierten Dotierstoffe zu aktivieren. Schichten sowohl aus Leitern (z.B. aus Polysilicium, Aluminium, Kupfer etc.) als auch aus Isolatoren (z.B. aus verschiedenen Formen von Siliciumdioxid, Siliciumnitrid etc.) werden dazu verwendet, Transistoren und ihre Komponenten zu verbinden und zu trennen. Ein selektives Dotieren von verschiedenen Bereichen des Halbleitersubstrats ermöglicht eine Änderung der Leitfähigkeit des Substrats bei Anlegen einer Spannung. Indem Strukturen dieser verschiedenen Komponenten erzeugt werden, können Millionen von Transistoren hergestellt und miteinander verdrahtet werden, um den komplexen Schaltungsaufbau einer modernen mikroelektronischen Einheit zu bilden. Bei der Halbleiter-Lithographie handelt es sich um die Bildung von dreidimensionalen Relief-Bildern oder Strukturen auf dem Halbleitersubstrat für einen nachfolgenden Transfer der Struktur auf das Substrat. Bei der Halbleiter-Lithographie werden die Strukturen mittels eines lichtempfindlichen Polymers gebildet, das als ein Photoresist bezeichnet wird. Um die komplexen Strukturen, aus denen ein Transistor besteht, und die vielen Drähte herzustellen, welche die Millionen von Transistoren einer Schaltung verbinden, werden Lithographie- und Ätzstrukturierungs-Transferschritte mehrere Male wiederholt. Jede Struktur, die auf den Wafer gedruckt wird, wird in Bezug auf die zuvor gebildeten Strukturen ausgerichtet, und nach und nach werden die Leiter, Isolatoren und selektiv dotierten Bereiche hergestellt, um die endgültige Einheit zu bilden.
  • Das Flussdiagramm und die Blockschaubilder in den Figuren stellen mögliche Realisierungen von Fertigungs- und/oder Arbeitsverfahren gemäß verschiedenen Ausführungsformen der vorliegenden Erfindung dar. Verschiedene Funktionen/Vorgänge des Verfahrens sind in dem Flussdiagramm durch Blöcke dargestellt. Bei einigen alternativen Realisierungen können die in den Blöcken vermerkten Funktionen in einer anderen Reihenfolge als in den Figuren vermerkt auftreten. Zum Beispiel können zwei Blöcke, die aufeinanderfolgend gezeigt sind, in Wirklichkeit im Wesentlichen gleichzeitig ausgeführt werden, oder die Blöcke können mitunter in Abhängigkeit von der involvierten Funktionalität in der umgekehrten Reihenfolge ausgeführt werden.
  • Die Beschreibungen der verschiedenen Ausführungsformen der vorliegenden Erfindung wurden zu Zwecken der Darstellung präsentiert, sollen jedoch nicht erschöpfend oder auf die beschriebenen Ausführungsformen beschränkt sein. Für den Fachmann sind viele Modifikationen und Variationen ersichtlich, ohne von dem Umfang und dem Inhalt der beschriebenen Ausführungsformen abzuweichen. Die hierin verwendete Terminologie wurde gewählt, um die Grundgedanken der Ausführungsformen, die praktische Anwendung oder die technische Verbesserung gegenüber auf dem Markt zu findenden Technologien am besten zu erläutern oder um andere Fachleute in die Lage zu versetzen, die hierin beschriebenen Ausführungsformen zu verstehen.

Claims (25)

  1. Verfahren für ein Bilden einer Halbleitereinheit, wobei das Verfahren aufweist: Bilden eines Leitungselements in einer Metallisierungsschicht einer Zwischenverbindungsanordnung, wobei das Leitungselement eine Leitungshartmaske aufweist; Bilden eines Grabens in dem Leitungselement, um Leitungsenden des Leitungselements freizulegen; Füllen des Grabens mit einem Host-Material; Bilden eines Wachstumsinhibitors über einem ersten Leitungsende des Leitungselements; Bilden einer Durchkontaktmaske über einem zweiten Leitungsende des Leitungselements, wobei die Durchkontaktmaske selektiv auf einer freigelegten Oberfläche des Host-Materials aufgewachsen wird; und Zurücksetzen von Teilbereichen des Leitungselements, die nicht mit der Durchkontaktmaske bedeckt sind, um einen selbstausgerichteten oberen Durchkontakt an dem zweiten Leitungsende zu bilden.
  2. Verfahren nach Anspruch 1, wobei die Durchkontaktmaske unter Verwendung einer Anzahl von Aufwachszyklen aufgewachsen wird, die so gewählt wird, dass sie eine vorgegebene Abmessung des oberen Durchkontakts anvisiert.
  3. Verfahren nach Anspruch 1, wobei der Wachstumsinhibitor verhindert, dass die Durchkontaktmaske an dem ersten Leitungsende aufwächst.
  4. Verfahren nach Anspruch 1, wobei das Host-Material auf Grundlage der Durchkontaktmaske so gewählt wird, dass sichergestellt wird, dass die Durchkontaktmaske selektiv auf einer Oberfläche des Host-Materials aufwächst.
  5. Verfahren nach Anspruch 4, wobei das Host-Material des Weiteren so gewählt wird, dass es ein nass entfernbares nicht dielektrisches Material aufweist.
  6. Verfahren nach Anspruch 5, wobei das Host-Material Titannitrid, Titanoxid oder Tantalnitrid aufweist.
  7. Verfahren nach Anspruch 1, das des Weiteren ein Bilden einer lithographischen Maske über der Leitungshartmaske aufweist, wobei die lithographische Maske so strukturiert wird, dass sie das erste Leitungsende freilegt.
  8. Verfahren nach Anspruch 7, wobei der Wachstumsinhibitor auf dem freigelegten ersten Leitungsende gebildet wird.
  9. Verfahren nach Anspruch 8, wobei sich der Wachstumsinhibitor auf einer Oberfläche der Leitungshartmaske aufbaut, jedoch nicht auf einer Oberfläche des Host-Materials, so dass eine Oberfläche des Host-Materials freiliegend belassen wird.
  10. Verfahren nach Anspruch 1, das ein Ersetzten der Durchkontaktmaske, der Leitungshartmaske und des Host-Materials durch ein Zwischenschichtdielektrikum aufweist.
  11. Verfahren nach Anspruch 1, wobei das Bilden des Grabens ein Entfernen von Teilbereichen des Leitungselements unter Verwendung eines Ätzvorgangs aufweist.
  12. Verfahren nach Anspruch 1, wobei das Leitungselement eine Metallleitung aufweist.
  13. Verfahren nach Anspruch 1, das des Weiteren ein Entfernen des Wachstumsinhibitors vor einem Zurücksetzen von Teilbereichen des Leitungselements aufweist.
  14. Verfahren für ein Bilden einer Zwischenverbindungsanordnung, wobei das Verfahren aufweist: Bilden eines Leitungselements in einer Metallisierungsschicht, wobei das Leitungselement zwei oder mehr Leitungsenden aufweist; Füllen eines Bereichs zwischen einem ersten Leitungsende und einem zweiten Leitungsende mit einem Host-Material; Bilden eines Wachstumsinhibitors über dem ersten Leitungsende; selektives Aufwachsen einer Durchkontaktmaske über einer freigelegten Oberfläche des Host-Materials; und Zurücksetzen von Teilbereichen des Leitungselements, die nicht mit der Durchkontaktmaske bedeckt sind, um einen selbstausgerichteten oberen Durchkontakt an dem zweiten Leitungsende zu definieren.
  15. Verfahren nach Anspruch 14, wobei der Wachstumsinhibitor eine selbstorganisierte Monoschicht aufweist.
  16. Verfahren nach Anspruch 15, wobei die selbstorganisierte Monoschicht eine C6-C-18-Kohlenstoffkette aufweist.
  17. Verfahren nach Anspruch 14, wobei der Wachstumsinhibitor ein Polymer mit einem Molekulargewicht von etwa 1 bis etwa 10.000 aufweist.
  18. Verfahren nach Anspruch 17, wobei das Polymer funktionelle Hydroxyl- oder Amin-Gruppen aufweist.
  19. Halbleitereinheit, die aufweist: ein Leitungselement in einer Metallisierungsschicht einer Zwischenverbindungsanordnung; und eine Mehrzahl von selbstausgerichteten oberen Durchkontakten, die an Leitungsenden des Leitungselements ausgebildet sind, wobei die selbstausgerichteten oberen Durchkontakte eine gleiche kritische Abmessung aufweisen, wobei die oberen Durchkontakte derart positioniert sind, dass sich das Leitungselement zwischen den oberen Durchkontakten und einem Substrat befindet; wobei die kritische Abmessung invariant ist; und wobei das Leitungselement und die selbstausgerichteten oberen Durchkontakte aus einer einzelnen Schicht aus einem Material gebildet sind.
  20. Halbleitereinheit nach Anspruch 19, wobei die kritische Abmessung der selbstausgerichteten oberen Durchkontakte auf einer Anzahl von Aufwachszyklen für eine Durchkontaktmaske basiert.
  21. Halbleitereinheit nach Anspruch 19, wobei eine Seitenwand eines ersten selbstausgerichteten Durchkontakts koplanar mit einer Seitenwand eines ersten Leitungsendes ist.
  22. Halbleitereinheit nach Anspruch 19, wobei das Leitungselement und die Mehrzahl von selbstausgerichteten oberen Durchkontakten ein gleiches Material aufweisen.
  23. Halbleitereinheit, die aufweist: ein Leitungselement in einer Metallisierungsschicht einer Zwischenverbindungsanordnung, wobei das Leitungselement ein erstes Leitungsende und ein zweites Leitungsende aufweist; einen Graben benachbart zu dem ersten Leitungsende des Leitungselements, wobei der Graben ein Host-Material aufweist; einen ersten oberen Durchkontakt, der an dem ersten Leitungsende des Leitungselements ausgebildet ist, wobei der erste obere Durchkontakt eine erste kritische Abmessung aufweist, wobei der erste obere Durchkontakt derart positioniert ist, dass sich das Leitungselement zwischen dem ersten oberen Durchkontakt und einem Substrat befindet; und einen zweiten oberen Durchkontakt, der an dem zweiten Leitungsende des Leitungselements ausgebildet ist, wobei der zweite obere Durchkontakt die erste kritische Abmessung aufweist, wobei der zweite obere Durchkontakt derart positioniert ist, dass sich das Leitungselement zwischen dem zweiten oberen Durchkontakt und dem Substrat befindet; wobei die erste kritische Abmessung invariant ist.
  24. Halbleitereinheit nach Anspruch 23, wobei das Host-Material zumindest eines von Titannitrid, Titanoxid und Tantalnitrid aufweist.
  25. Halbleitereinheit nach Anspruch 23, wobei eine Seitenwand des ersten oberen Durchkontakts koplanar mit einer Seitenwand des ersten Leitungsendes ist und eine Seitenwand des zweiten oberen Durchkontakts koplanar mit einer Seitenwand des zweiten Leitungsendes ist.
DE112020004385.1T 2019-09-18 2020-08-14 Anordnen von oberen Durchkontakten an Leitungsenden durch selektives Aufwachsen einer Durchkontaktmaske aus einem Leitungseinschnitt-Dielektrikum Pending DE112020004385T5 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/574,447 2019-09-18
US16/574,447 US11189561B2 (en) 2019-09-18 2019-09-18 Placing top vias at line ends by selective growth of via mask from line cut dielectric
PCT/IB2020/057669 WO2021053421A1 (en) 2019-09-18 2020-08-14 Placing top vias at line ends by selective growth of via mask from line cut dielectric

Publications (1)

Publication Number Publication Date
DE112020004385T5 true DE112020004385T5 (de) 2022-06-02

Family

ID=74869003

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112020004385.1T Pending DE112020004385T5 (de) 2019-09-18 2020-08-14 Anordnen von oberen Durchkontakten an Leitungsenden durch selektives Aufwachsen einer Durchkontaktmaske aus einem Leitungseinschnitt-Dielektrikum

Country Status (6)

Country Link
US (2) US11189561B2 (de)
JP (1) JP2022549104A (de)
CN (1) CN114402428A (de)
DE (1) DE112020004385T5 (de)
GB (1) GB2603390B (de)
WO (1) WO2021053421A1 (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11189561B2 (en) 2019-09-18 2021-11-30 International Business Machines Corporation Placing top vias at line ends by selective growth of via mask from line cut dielectric

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7393789B2 (en) * 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US9601367B2 (en) 2013-03-25 2017-03-21 International Business Machines Corporation Interconnect level structures for confining stitch-induced via structures
CN104952786B (zh) 2014-03-25 2018-07-10 中芯国际集成电路制造(上海)有限公司 电互连结构及其形成方法
US9466563B2 (en) * 2014-12-01 2016-10-11 Stmicroelectronics, Inc. Interconnect structure for an integrated circuit and method of fabricating an interconnect structure
US9536778B2 (en) 2015-04-06 2017-01-03 Globalfoundries Inc. Self-aligned double patterning process for metal routing
US9418935B1 (en) 2015-09-09 2016-08-16 Globalfoundries Inc. Integrated circuit line ends formed using additive processing
WO2017111924A1 (en) 2015-12-21 2017-06-29 Intel Corporation Grating based plugs and cuts for feature end formation for back end of line (beol) interconnects and structures resulting therefrom
US10319625B2 (en) 2015-12-22 2019-06-11 Intel Corporation Metal via processing schemes with via critical dimension (CD) control for back end of line (BEOL) interconnects and the resulting structures
WO2017111868A1 (en) 2015-12-23 2017-06-29 Intel Corporation Approaches for patterning metal line ends for back end of line (beol) interconnects
US9741613B1 (en) 2016-06-07 2017-08-22 Globalfoundries Inc. Method for producing self-aligned line end vias and related device
US10020223B1 (en) 2017-04-12 2018-07-10 International Business Machines Corporation Reduced tip-to-tip and via pitch at line end
WO2019108237A1 (en) 2017-11-30 2019-06-06 Intel Corporation Fin patterning for advanced integrated circuit structure fabrication
US11189561B2 (en) 2019-09-18 2021-11-30 International Business Machines Corporation Placing top vias at line ends by selective growth of via mask from line cut dielectric

Also Published As

Publication number Publication date
US11189561B2 (en) 2021-11-30
CN114402428A (zh) 2022-04-26
WO2021053421A1 (en) 2021-03-25
US20210082807A1 (en) 2021-03-18
US20220028784A1 (en) 2022-01-27
GB2603390B (en) 2024-06-26
GB2603390A (en) 2022-08-03
GB202204962D0 (en) 2022-05-18
GB2603390A8 (en) 2023-07-19
US11830807B2 (en) 2023-11-28
JP2022549104A (ja) 2022-11-24

Similar Documents

Publication Publication Date Title
DE102012219171B4 (de) Verfahren zum Bilden einer dreidimensionalen (3D) integrierten Schaltung und entsprechend gebildete dreidimensionale (3D) integrierte Schaltung
DE102017207873B4 (de) Verfahren zum Bilden eines Luftspalts für eine Halbleitervorrichtung
DE102017207777B4 (de) Luftspalt über Transistorgate und entsprechender RFSOI-Schalter
DE102017123958B4 (de) Halbleitervorrichtung
DE112013000362B4 (de) Verfahren zur herstellung elektrisch programmierbarerback-end-sicherung
DE102016114870A1 (de) Halbleiterstruktur und Verfahren zu deren Herstellung
DE112013006022T5 (de) Zwischenverbindungen aus Graphen und Metall
DE102010029533B3 (de) Selektive Größenreduzierung von Kontaktelementen in einem Halbleiterbauelement
DE102005020132B4 (de) Technik zur Herstellung selbstjustierter Durchführungen in einer Metallisierungsschicht
DE102015106411B4 (de) Obere metallische kontaktpads als lokale verbinder von vertikaltransistoren
DE102017117845A1 (de) Nichtflüchtiger 3D-Kreuzschienenspeicher
DE112017005474T5 (de) Vertikal-transport-fet-einheiten unter verwendung einer selektiven epitaxie bei niedriger temperatur
DE102017124072B4 (de) Zusammenschaltungsstruktur für halbleitervorrichtung und verfahren zu ihrer herstellung
DE112018000689T5 (de) Dual-kanal-cmos mit gemeinsamen gate-stapeln
DE102019203596B4 (de) Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden
DE112020003222T5 (de) Zwischenverbindungsanordnung mit vollständig ausgerichteten durchkontakten
DE102018208546A1 (de) Strukturen aus dem mittleren bereich der fertigungslinie
DE102019203224B4 (de) Selbstausgerichtete Mehrfachstrukturierungsprozesse mit geschichteten Dornen
DE102020102814A1 (de) Selbstausgerichtete lokale Zwischenverbindungen
DE102018211683B4 (de) Verfahren zur herstellung von metallisierungsebenen
DE102019117894A1 (de) Ätzstoppschicht mit hoher durchbruchspannung
DE112020004385T5 (de) Anordnen von oberen Durchkontakten an Leitungsenden durch selektives Aufwachsen einer Durchkontaktmaske aus einem Leitungseinschnitt-Dielektrikum
DE102019204020A1 (de) Elektrische Sicherungsbildung während eines Mehrfachstrukturierungsprozesses
DE102018206438B4 (de) Verfahren zur Herstellung von Kontaktstrukturen
DE102020120002A1 (de) Wärmeableitung in halbleitervorrichtungen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R083 Amendment of/additions to inventor(s)
R084 Declaration of willingness to licence