DE112016004012T5 - Techniken und systeme für modellbasierte messungen der kritischen dimension - Google Patents

Techniken und systeme für modellbasierte messungen der kritischen dimension Download PDF

Info

Publication number
DE112016004012T5
DE112016004012T5 DE112016004012.1T DE112016004012T DE112016004012T5 DE 112016004012 T5 DE112016004012 T5 DE 112016004012T5 DE 112016004012 T DE112016004012 T DE 112016004012T DE 112016004012 T5 DE112016004012 T5 DE 112016004012T5
Authority
DE
Germany
Prior art keywords
reticle
image
critical dimension
measured
norm
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE112016004012.1T
Other languages
English (en)
Inventor
Abdurrahman (Apo) Sezginer
Eric Vella
Balaji Ganapathy
Yanwei Liu
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of DE112016004012T5 publication Critical patent/DE112016004012T5/de
Granted legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/0006Industrial image inspection using a design-rule based approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/60Analysis of geometric attributes
    • G06T7/62Analysis of geometric attributes of area, perimeter, diameter or volume
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10004Still image; Photographic image
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10056Microscopic image
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Abstract

Ein Retikel wird mit einem Abbildungssystem inspiziert, um ein gemessenes Bild einer Struktur auf dem Retikel zu erhalten, und die Struktur hat eine unbekannte kritische Dimension (CD). Ein berechnetes Bild wird unter Verwendung eines Modells und einer Design-Datenbank erzeugt, die ein Muster beschreibt, das verwendet wurde, um die Struktur auf dem Retikel zu erzeugen. Das Modell erzeugt das berechnete Bild auf Grundlage von: optischen Eigenschaften von Retikelmaterialien der Struktur, eines Computermodells des Abbildungssystems und einer anpassbaren CD. Eine Norm einer Differenz zwischen dem gemessenen und dem berechneten Bild wird minimiert durch Anpassen der anpassbaren CD und iterative Wiederholung des Vorgangs der Erzeugung eines berechneten Bildes, um eine letztendliche CD für die unbekannte CD der Struktur zu erhalten. Das Minimieren der Norm der Differenz wird gleichzeitig durchgeführt hinsichtlich der anpassbaren CD und eines oder mehrerer unbestimmter Parameter des Abbildungssystems.

Description

  • VERWEIS AUF VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht Priorität gemäß 35 USC §119 der früheren provisorischen US Anmeldung 62/214,472 , eingereicht am 04. September 2015, mit dem Titel „Model-Based CD Measurement“ von Abdurrahman Sezginer et al., die hierin durch Verweis zur Gänze für alle Zwecke aufgenommen wird.
  • TECHNISCHES GEBIET DER ERFINDUNG
  • Die Erfindung betrifft allgemein das Gebiet der Halbleitermetrologie, etwa der Retikelmetrologie. Genauer betrifft die vorliegende Erfindung ein Verfahren zur Messung von Dimensionen von Strukturen auf einem Retikel.
  • HINTERGRUND
  • Allgemein involviert die Halbleiterindustrie hochkomplexe Techniken zur Herstellung integrierter Schaltkreise unter Verwendung von Halbleitermaterialien, die auf ein Substrat, etwa Silizium, schichtweise aufgebracht und strukturiert werden. Ein integrierter Schaltkreis wird typischerweise ausgehend von einer Vielzahl von Retikeln hergestellt. Zunächst stellen Schaltkreis-Designer Strukturdaten für einen Schaltkreis oder eine Design-Datenbank, welche ein bestimmtes Design eines integrierten Schaltkreises (IC) beschreibt, für ein Retikel-Produktionssystem oder einen Retikelschreiber bereit. Die Strukturdaten für einen Schaltkreis liegen typischerweise in Form eines repräsentativen Plans der physikalischen Schichten des hergestellten IC-Bauelements vor. Der repräsentative Plan beinhaltet eine repräsentative Schicht für jede physikalische Schicht des IC-Bauelements (z.B. Gate-Oxid, Polysilizium, Metallisierung, etc.), wobei jede repräsentative Schicht aus einer Vielzahl an Polygonen zusammengesetzt ist, die eine Strukturierung einer Schicht des jeweiligen IC-Bauelements definieren. Der Retikelschreiber verwendet die Strukturdaten für den Schaltkreis, um eine Vielzahl von Retikeln zu schreiben (z.B. wird typischerweise ein Elektronenstrahlschreiber oder ein Laserscanner verwendet, um eine Retikelmuster zu belichten), die später verwendet werden, das jeweilige IC-Design herzustellen.
  • Jedes Retikel oder jede Photomaske ist allgemein ein optisches Element, das zumindest transparente und opake Bereiche enthält, und manchmal halb-transparente und phasenschiebende Bereiche, welche zusammen das Muster von koplanaren Strukturen in einem elektronischen Bauelement, etwa einem integrierten Schaltkreis, definieren. Retikel werden während der Photolithographie verwendet, um bestimmte Bereiche eines Halbleiterwafers für Ätzen, lonenimplantierung oder andere Herstellungsprozesse zu definieren.
  • Ein Retikelinspektionssystem kann das Retikel im Hinblick auf Defekte inspizieren, etwa Problemen mit der Gleichförmigkeit der kritischen Dimension, die während der Produktion der Retikel oder nach der Verwendung solcher Retikel in der Photolithographie aufgetreten sein können. Wegen des hohen Grads der Schaltkreisintegration und der abnehmenden Größe von Halbleiterbauelementen sind die hergestellten Bauelemente zunehmend empfindlich auf Defekte geworden. Das heißt, Defekte, die Fehler in dem Bauelement verursachen, werden kleiner. Dementsprechend gibt es einen andauernden Bedarf an verbesserten Inspektionstechniken, um Eigenschaften des Retikels zu überwachen.
  • ÜBERSICHT
  • Nachfolgend eine vereinfachte Übersicht der Offenbarung, um ein grundlegendes Verständnis bestimmter Ausführungsformen der Erfindung zu ermöglichen. Diese Übersicht ist keine ausführliche Übersicht der Offenbarung, identifiziert nicht Schlüsselelemente / kritische Elemente der Erfindung und umreißt nicht den Umfang der Erfindung. Ihr einziger Zweck ist, einige hierin offenbarte Konzepte in einer vereinfachten Form zu präsentieren, als Vorspiel der später präsentierten detaillierteren Beschreibung.
  • In einer Ausführungsform werden Verfahren und eine Vorrichtung zur Messung eines Bias einer kritischen Dimension auf einem Retikel offenbart. Ein Retikel wird mit einem Abbildungssystem inspiziert, um ein gemessenes Bild einer Struktur auf dem Retikel zu erhalten, und die Struktur hat eine unbekannte kritische Dimension (CD). Unter Verwendung eines Modells und einer Design-Datenbank, die ein Muster beschreibt, das zur Bildung der Struktur auf dem Retikel verwendet wurde, wird ein berechnetes Bild erzeugt. Das Modell erzeugt das berechnete Bild auf Grundlage optischer Eigenschaften von Retikelmaterialien der Struktur, eines Computermodells des Abbildungssystems und einer anpassbaren CD. Eine Norm einer Differenz zwischen dem gemessenen Bild und dem berechneten Bild wird minimiert, indem die anpassbare CD angepasst wird und der Vorgang der Erzeugung eines berechneten Bildes iterativ wiederholt wird, um eine finale CD für die unbekannte CD der Struktur zu erhalten. Die Minimierung der Norm der Differenz wird gleichzeitig durchgeführt hinsichtlich der anpassbaren CD und hinsichtlich eines oder mehrerer unbestimmter Parameter des Abbildungssystems.
  • In einer spezifischen Ausführungsform umfasst das gemessene Bild eine Vielzahl an Intensitätswerten an einer Vielzahl an x-y-Positionen, die einer gleichen Vielzahl an x-y-Positionen des berechneten Bildes entsprechen. In einem weiteren Aspekt umfasst das gemessene Bild eine Vielzahl von gemessenen Bildern der Struktur, die für eine Vielzahl an Ansichten für unterschiedliche Betriebsparameter der Inspektionsmaschine erhalten wurden, und das berechnete Bild wird so erzeugt, dass es eine Vielzahl berechneter Bilder für die Struktur für die Vielzahl der Ansichten umfasst. In einem anderen Aspekt umfassen die Ansichten die Detektion von reflektiertem und transmittiertem Licht. In einer weiteren Ausführungsform umfassen die Ansichten unterschiedliche Einstellungen für einen oder mehrere der folgenden Parameter: Fokus-Offset, Verteilung der Beleuchtung in der Pupille, Polarisationszustand der Beleuchtung, numerische Apertur der Erfassungsoptik, Form einer Apertur, Einstellung eines Pupillenfilters oder Einstellung eines Analysators.
  • In einem anderen Beispiel beinhaltet das Computermodell des Abbildungssystems Aberrationscharakteristiken, die an dem Abbildungssystem gemessen wurden. In einem anderen Aspekt ist die Norm der Differenz zwischen dem gemessenen Bild und dem berechneten Bild eine Summe von Quadraten von Differenzen von Pixelwerten des gemessenen und des berechneten Bildes. In einer alternativen Ausführungsform ist die Norm der Differenz zwischen dem gemessenen Bild und dem berechneten Bild eine Summe von Absolutwerten von Differenzen von Pixelwerten des gemessenen und des berechneten Bildes. In einer anderen Ausführungsform beinhalten der eine oder die mehreren unbestimmten Parameter des Systems einen oder mehrere der folgenden: Fokus und Beleuchtungsintensität. In einem spezifischen Beispiel umfassen das gemessene Bild und das berechnete Bild jeweils einen Satz an Bildern, der bei mehreren Ansichten erfasst wurde, wobei sich zwei Ansichten durch zumindest einen oder mehrere Abbildungsparameter unterscheiden, die einen Reflektions- oder einen Transmissionsmodus, eine Verteilung in der Beleuchtungspupille, eine Beleuchtungspolarisation, eine numerische Apertur und Form der Erfassungspupille, eine Fokuseinstellung und eine Pupillenfilterphase und -amplitude beinhalten.
  • In einem anderen Beispiel werden für eine Vielzahl an Strukturen auf dem Retikel die Vorgänge der Inspektion, Erzeugung eines berechneten Bildes, Minimierung einer Norm und Definieren der unbekannten kritischen Dimension für jede der Vielzahl der Strukturen wiederholt, um eine Vielzahl letztendlicher kritischer Dimensionen für die Vielzahl der Strukturen auf dem Retikel zu erhalten, wodurch eine Karte der Gleichförmigkeit der kritischen Dimension (CDU, critical dimension uniformity) erzeugt wird. Die CDU-Karte wird analysiert, um zu bestimmen, ob das Retikel fehlerbehaftet ist und repariert oder verworfen werden muss, oder ob das Retikel zur Herstellung eines Halbleiterwafers verwendet werden soll.
  • In einer alternativen Ausführungsform betrifft die Erfindung ein Inspektionssystem zur Messung eines Bias einer kritischen Dimension auf einem Retikel. Das System umfasst eine Beleuchtungsoptik zur Erzeugung und Lenkung eines einfallenden Strahls zum Retikel und Ausgangsoptik zur Detektion von tatsächlichen Bildern von dem Retikel in Antwort auf den einfallenden Strahl. Das System beinhaltet ferner zumindest einen Speicher und zumindest einen Prozessor, die dazu ausgebildet sind, einen oder mehrere der oben beschriebenen Vorgänge einzuleiten. In anderen Ausführungsformen betrifft die Erfindung computerlesbare Medien, auf denen Anweisungen gespeichert sind, um zumindest einige der oben beschriebenen Vorgänge durchzuführen.
  • Diese und andere Aspekte der Erfindung werden unten mit Verweis auf die Zeichnungen weiter beschrieben.
  • Figurenliste
    • 1 ist ein Flussdiagramm eines Messprozesses für eine kritische Dimension (CD) gemäß einer Ausführungsform der vorliegenden Erfindung.
    • 2 ist eine Querschnittsansicht einer Darstellung des physikalischen Modells eines dreidimensionalen Musters auf der Maske.
    • 3 ist ein Graph der Ergebnisse der Durchführung einer Regressionsanalyse zwischen berechneten und gemessenen Retikelbildern gemäß einer Ausführungsform der vorliegenden Erfindung.
    • 4 ist ein Flussdiagramm, das eine Analyseprozedur einer CD-Gleichförmigkeits-Karte (CDU-Karte) gemäß einer Ausführungsform der vorliegenden Erfindung zeigt.
    • 5 ist eine schematische Darstellung eines Beispiels eines Inspektionssystems, in dem Techniken der vorliegenden Erfindung implementiert werden können.
    • 6A ist eine vereinfachte schematische Darstellung eines lithographischen Systems zur Übertragung eines Maskenmusters von einer Photomaske auf einen Wafer gemäß bestimmter Ausführungsformen.
    • 6B bietet eine schematische Darstellung einer Photomasken-Inspektionsvorrichtung gemäß bestimmter Ausführungsformen.
  • DETAILLIERTE BESCHREIBUNG VON AUSFÜHRUNGSBEISPIELEN
  • In der folgenden Beschreibung werden zahlreiche spezifische Details dargestellt, um ein gründliches Verständnis der vorliegenden Erfindung zu ermöglichen. Die vorliegende Erfindung kann ohne einige oder alle dieser spezifischen Details ausgeführt werden. In anderen Fällen wurden wohlbekannte Verfahrensvorgänge nicht im Detail beschrieben, um die vorliegende Erfindung nicht unnötig undeutlich zu machen. Wenn auch die Erfindung in Verbindung mit den spezifischen Ausführungsformen beschrieben wird, so ist dennoch klar, dass dies nicht in der Absicht geschieht, die Erfindung auf diese spezifischen Ausführungsformen zu beschränken.
  • Bestimmte Ausführungsformen der vorliegenden Erfindung stellen Techniken und Systeme bereit, um ein Retikel zu inspizieren, um Defekte, oder genauer, Schwankungen in Eigenschaften, etwa der kritischen Dimension (CD), von Retikelstrukturen zu detektieren. Obwohl die folgenden Ausführungsbeispiele mit Bezug auf ein Retikel beschrieben werden, kann jede geeignete Art einer Probe (z.B. ein Wafer) unter Verwendung solcher Techniken und Systeme überwacht werden. Zusätzlich können die folgenden Ausführungsbeispiele auf die Überwachung anderer Probeneigenschaften außer CD-Schwankungen angewendet werden, etwa Gleichförmigkeit der Höhe, Gleichförmigkeit des Böschungswinkels, Gleichförmigkeit der Oberflächenrauheit, Gleichförmigkeit der Transmission des Pellikel, Gleichförmigkeit der Transmission des Quarzes, etc.
  • Der Begriff „Retikel“ beinhaltet allgemein ein transparentes Substrat, etwa Glas, Borosilikatglas, Quarz, Quarzglas, auf dem eine Schicht opakes Material ausgebildet ist. Das opake (oder im Wesentlichen opake) Material kann jedes geeignete Material beinhalten, das photolithographisches Licht (z.B. tiefes UV) vollständig oder teilweise blockiert. Beispielmaterialien beinhalten Chrom, Molybdänsilicid (MoSi), Tantalsilicid, Wolframsilicid, opakes MoSi auf Glas (OMOG), etc. Es kann auch eine Polysiliziumschicht zwischen der opaken Schicht und dem transparenten Substrat hinzugefügt werden, um die Adhäsion zu verbessern. Eine Schicht niedriger Reflektivität, etwa Molybdänoxid (MoO2), Wolframoxid (WO2), Titanoxid (TiO2) oder Chromoxid (CrO2), kann über dem opaken Material ausgebildet werden.
  • Der Begriff Retikel bezieht sich auf unterschiedliche Arten von Retikeln, darunter, ohne aber darauf beschränkt zu sein, ein Klarfeld-Retikel, ein Dunkelfeld-Retikel, ein binäres Retikel, eine phasenschiebende Maske (PSM), eine alternierende PSM, eine abgeschwächte oder Halbton-PSM, eine ternäre abgeschwächte PSM und eine chromlose phasenschiebende Lithographie-CPL-Maske. Ein Klarfeld-Retikel hat Feld- oder Hintergrundbereiche, die transparent sind, und ein Dunkelfeld-Retikel hat Feld- oder Hintergrundbereiche, die opak sind. Ein binäres Retikel ist ein Retikel mit gemusterten Bereichen, die entweder transparent oder opak sind. Beispielsweise kann eine Photomaske verwendet werden, die aus einem transparenten Quarzglasrohling hergestellt ist und ein Muster aufweist, dass durch eine Schicht definiert ist, die Chrommetall adsorbiert. Binäre Retikel unterscheiden sich von phasenschiebenden Masken (PSM), von denen eine Art Schichten beinhalten kann, die Licht nur teilweise transmittieren, und diese Retikel können allgemein als Halbton- oder eingebettete phasenschiebende Masken (EPSM) bezeichnet werden. Wird ein phasenschiebendes Material auf alternierende freie Bereiche eines Retikels aufgebracht, so wird das Retikel als eine alternierende PSM bezeichnet, eine ALT PSM oder eine Levenson PSM. Eine Art phasenschiebendes Material, das auf beliebige Muster eines Plans angewendet werden kann, wird als eine abgeschwächte oder Halbton-PSM bezeichnet, welche hergestellt werden kann, indem das opake Material durch eine teilweise transmittierende oder „Halbton“-Schicht ersetzt wird. Eine ternäre abgeschwächte PSM ist eine abgeschwächte PSM, die auch vollständig opake Strukturen beinhaltet.
  • Im Allgemeinen wird das opake, absorbierende, teilweise opake, phasenschiebende Material in Strukturen eines Musters ausgebildet, die mit kritischen Dimensionen (CD) entsprechenden Breiten entworfen und ausgebildet sind, was auch zu freien Bereichen zwischen den Strukturen führt, die ebenfalls eine CD haben. Ein bestimmter CD-Wert kann im Allgemeinen beeinflussen, wie eine bestimmte Retikelstruktur im Photolithographieprozess auf den Wafer übertragen wird, und solch eine CD wird so gewählt, dass dieser Übertragungsprozess optimiert wird. Anders ausgedrückt, wenn sich ein CD-Wert einer bestimmten Retikelstruktur innerhalb eines spezifizierten CD-Bereiches befindet, wird solch ein CD-Wert zu der Herstellung einer entsprechenden Waferstruktur führen, die den korrekten Betrieb des sich ergebenden integrierten Schaltkreises ermöglicht, wie vom Schaltkreis-Designer beabsichtigt. Strukturen werden typischerweise mit minimalen Abmessungen, die auch zu betriebsfähigen Schaltkreisen führen, hergestellt, um Fläche des integrierten Chips einzusparen.
  • Ein neu hergestelltes Retikel kann Defekte der CD (oder anderer Schicht- oder Mustereigenschaften) aufweisen. Beispielsweise kann das Retikel defektbehaftete CD-Bereiche haben, etwa Streifenfehler des Maskenschreibers. Auch kann ein Retikel in Laufe der Zeit auf verschiedene Weise beschädigt werden. In einem ersten Beispiel einer Beeinträchtigung kann der photolithographische Belichtungsprozess zu einer physikalischen Beeinträchtigung des opaken Materials des Retikels führen. Beispielsweise kann ein Strahl hoher Leistung, etwa ein Strahl hoher Leistung im tiefen Ultraviolett (UV) bei 193 nm, der auf das Retikel angewendet wird, physikalisch Schaden an dem opaken Material auf dem Retikel verursachen. Schaden kann auch durch andere Wellenlängen verursacht werden, etwa einen 248nm-UV-Strahl. In der Tat kann der UV-Strahl physikalisch dazu führen, dass die opaken Muster auf dem Retikel absacken, was zu einem Abflachen der Strukturen führt. In der Folge können opake Strukturen im Vergleich zu den ursprünglichen CD-Breiten erheblich größere CD-Breiten haben, wohingegen der Abstand zwischen solchen opaken Strukturen eine viel kleinere CD-Breite haben kann, verglichen mit der ursprünglichen CD-Breite. Andere Arten von CD-Beeinträchtigung können durch chemische Reaktionen zwischen den Retikelstrukturen (MoSi) und dem Belichtungslicht verursacht werden, durch Reinigungsprozesse, Kontamination, etc. Diese physikalischen Effekte können im Laufe der Zeit auch die kritischen Dimensionen (CDs) des Retikels negativ beeinflussen.
  • Als ein Ergebnis dieser Beeinträchtigung können sich die CD-Werte der Strukturen signifikant verändert haben, so dass die Gleichförmigkeit der CD über das Retikel betroffen ist und der Waferausstoß negativ beeinflusst wird. Beispielsweise können Maskenstrukturbreiten in Bereichen der Maske erheblich größer sein als die ursprüngliche Linienbreiten-CD. Beispielsweise kann ein radiales Muster der CD-Ungleichförmigkeit vorliegen, wobei das Zentrum des Retikels eine andere CD hat als die Ränder des Retikels.
  • Bestimmte frühere Techniken zur CD-Messung verwenden ein digitalisiertes Bild des untersuchten Objekts. Eine Intensitätsschwelle wird auf das Bild angewendet, und die Positionen von Rändern, an denen das Intensitätsbild gleich der Schwelle ist, werden aufgefunden. Der Abstand zwischen diesen Rändern kann dann bestimmt werden. In einer Ausführung ist die Schwelle als die isofokale Schwelle gewählt, so dass eine Veränderung des Fokus die Messung minimal stört.
  • Diese Dimensionsmesstechnik, welche auf dem Retikelbild durchgeführt wird, ist empfindlich gegen Fehler im Intensitätswert und Aberrationen in dem Abbildungssystem. Ferner ist nicht immer eine exakte isofokale Bedingung zu erreichen. Die Wechselwirkung der Beleuchtung mit dem Retikel wird ebenfalls nicht genau berücksichtigt. Somit ist die geometrische oder physikalische Bedeutung der gemessenen Distanz unklar, insbesondere für ein beliebiges zweidimensionales Muster, was zu inakzeptablen Niveaus der CD-Messgenauigkeit führt.
  • In manchen Techniken der vorliegenden Erfindung wird das untersuchte Objekt (z.B. Retikel) beleuchtet und sein Bild auf einem Detektor gebildet. Die Ausgabe des Detektors kann dann digitalisiert und weiter verarbeitet werden. Die kritische Dimension (CD) einer Struktur kann dann gemessen werden, indem iterativ Bilder, die durch ein Computermodell berechnet wurden, an das von dem Abbildungssystem erfasste (gemessene) Bild angepasst werden. Auch wenn das Retikel Strukturen hat, die an der Auflösungsgrenze der Inspektionsmaschine liegen, kann das Modell Bilder so simulieren, dass sie mit solchen tatsächlichen, von dem Retikel erhaltenen Bildern vergleichbar sind.
  • 1 ist ein Flussdiagramm eines Retikel-Inspektionsprozesses 100 gemäß einer Ausführungsform der vorliegenden Erfindung. Wie gezeigt, kann ein Retikelbild 102 für ein Abbildungssystem 104 bereitgestellt werden, um ein Retikel 106 zu erhalten. Das Retikel wurde auf Grundlage einer Design-Datenbank hergestellt. Das Retikelbild 106 kann unter Verwendung einer beliebigen Maschine, etwa Teron 640, erhältlich von KLA-Tencor Corp. aus Milpitas, CA, erlangt werden. Das Retikelbild 106 ist typischerweise ein verschwommenes Bild, das in der Bildebene oder der Feldebene erhalten wird (z.B. ein verschwommenes Abbild des Retikelmusters). Obwohl die folgende Beschreibung sich auf eine einzige Retikelstruktur und ihr entsprechendes Bild und simuliertes Bild / ihre entsprechenden Bilder und simulierten Bilder bezieht, können die Techniken auf mehrere Bilder mehrerer Strukturen auf dem Retikel angewendet werden.
  • Jedes Retikelbild (berechnet und gemessen) umfasst auch eine Vielzahl an Intensitätswerten bei einer Vielzahl von x-y-Koordinaten oder ein Feld an Intensitätspixeln. Ein oder mehrere Retikelbilder können auch unter verschiedenen Abbildungsbedingungen oder „Ansichten“ oder „v“-Einstellungen erhalten werden, etwa der Detektion von reflektiertem und/oder transmittiertem Licht von dem Retikel, einer bestimmten Wellenlänge, Fokus-Offset, der Pupillenverteilung der Beleuchtung, dem Polarisationszustand der Beleuchtung, der numerischen Apertur der Sammeloptik, der Form der Apertur, Pupillenfiltereinstellung, Analysatoreinstellung, etc. Beispielsweise können unterschiedliche Phasen- und Amplitudeneinstellungen für unterschiedliche Positionen in der Beleuchtungs- und/oder Erfassungspupille eingestellt werden. In einem anderen Beispiel können unterschiedliche S- oder P-Polarisationen ausgewählt werden. Insgesamt können verschiedene Kombinationen von Beleuchtungs- und/oder Erfassungseinstellungen der Inspektionsmaschine verwendet werden, um das Retikelbild zu erhalten.
  • Somit entspricht jeder Satz an Retikelbildern einer Vielzahl an Intensitätswerten bei einer Vielzahl verschiedener x, y und einer oder mehrerer Ansichtseinstellungen. Werden mehrere Ansichten verwendet um mehrere Retikelbilder zu erhalten, kann der Satz Retikelbilder als ein Satz Intensitätswerte dargestellt werden, die durch unterschiedliche x-,y-,v-Werte indiziert sind. Das Retikelbild von einer einzigen Ansicht stellt eine reichhaltige Datenquelle dar (d.h. Intensitätswerte bei unterschiedlichen Retikelpositionen), während Bilder, die bei verschiedenen Ansichten erhalten wurden, sogar noch mehr Daten für die unten weiter beschriebenen Verarbeitungsschritte zum Auffinden einer CD bereitstellen.
  • Die Designdatenbank 108 für das gleiche Muster/die gleiche Struktur auf dem Retikel, das/die abgebildet wird, kann auch in ein Computermodell 110 eingegeben werden, um ein berechnetes Retikelbild 112 für solch ein Muster/eine Struktur zu erzeugen. Die Design-Datenbank stünde zum Beispiel typischerweise in einer Die-zu-Datenbank-Defektinspektion zur Verfügung, welche unmittelbar nach der Herstellung des Retikels durchgeführt wird. Die Designdatenbank 108 beinhaltet eine Beschreibung der Muster und Strukturen auf dem Retikel 102. In einem Beispiel wird ein Datenbank-(DB)-Bild mit Graustufenwerten von den Datenbankpolygonen oder zweidimensionalen Formen gerastert. Solch eine Datenbank wird normalerweise durch eine Datei im OASIS-, MEBES- oder GDSII-Format übermittelt.
  • Das Modell kann in jeder geeigneten Weise konfiguriert werden, um unterschiedliche berechnete Bilder für einen gegebenen Satz von Struktur-/Muster- und Inspektionsmaschinenparametern zu erzeugen, darunter konstante und ein oder mehrere anpassbare Parameter (z.B. CD). Ein modellierter Bildausschnitt jeder Linienstruktur und ihrer umgebenden Nachbarn oder ihres Hintergrunds kann erzeugt werden. Im Allgemeinen simuliert das Modell die optischen Eigenschaften einer bestimmten Abbildungsmaschine, die für das tatsächliche Retikel eingesetzt wird, um Retikelbilder zu erhalten.
  • Jeder modellierte Bildausschnitt wird bevorzugt auf Grundlage einer bestimmten Zielstruktur und ihrer umgebenden Nachbarn innerhalb einer bestimmten Distanz von solch einem bestimmten Ziel erzeugt. Die Größe des Ausschnitts hängt ab von dem Ziel und der Distanz von solch einem Ziel, innerhalb der Nachbarstrukturen möglicherweise oder wahrscheinlich einen optischen Effekt auf das sich ergebende modellierte Bild des Zieles haben. Beispielsweise kann der Bildausschnitt so bemessen sein, dass er die Struktur und jede Nachbarstruktur oder ein Gebiet beinhaltet, dass sich innerhalb eines Abstands von der Struktur befindet, der das Zehnfache der Punktspreizfunktion des Abbildungssystems ist. Das Modell beinhaltet zumindest einige der optischen Effekte, die in der Inspektionsmaschine, oder welcher modellierten optischen Abbildungsvorrichtung auch immer, vorliegen würden. Beispiele von Modellen beinhalten das Datenbankrenderingmodell, das in den Retikelinspektionssystemen von KLA-Tencor verwendet wird, etc.
  • Beispielsweise wird eine Beschreibung des untersuchten Musters auf dem Retikel in das Modell eingegeben. Im Allgemeinen wird eine Beschreibung der untersuchten Struktur und ihres umgebenden Hintergrunds typischerweise von der Designdatenbank erhalten und in das Modell eingegeben. Das heißt, die Position einer bestimmten Struktur, die inspiziert wird, wird verwendet, um die entsprechende Struktur und die Beschreibung ihres umgebenden Hintergrunds von der Designdatenbank abzurufen. Andere Eingabeparameter für das Modell für das untersuchte Muster können die optischen Eigenschaften von Retikelmaterialien beinhalten.
  • Das Modell kann in jeder geeigneten Weise konfiguriert sein, um unterschiedliche berechnete Bilder für einen gegebenen Satz von Musterparametern zu erzeugen, darunter konstante Parameter und ein oder mehrere anpassbare Parameter, darunter CD. Beispielsweise wird eine Beschreibung des Musters auf dem Retikel in das Modell eingegeben. Muster-Modellparameter können die Profilabmessungen des Musters, die optischen Eigenschaften von Retikelmaterialien, etc. beinhalten. In einer Ausführungsform wird angenommen, dass das Kantenprofil des auf dem Retikel geätzten Musters konstant ist. In der einfachsten Ausführung wird angenommen, dass die Kanten senkrecht sind. 2 ist eine Querschnittsansicht einer Darstellung des physikalischen Modells eines dreidimensionalen Musters 200 auf der Maske. Das Maskenmuster ist in einen Stapel von Schichten geätzt, die auf einem Retikelsubstrat abgeschieden wurden. Wie gezeigt, hat die Musterdarstellung 200 eine erste Schicht 204a und eine zweite Schicht 204b, die auf einem Substrat 202 des Retikels strukturiert sind. Der Realteil und der Imaginärteil des Brechungsindexes und die Dicke einer jeden Schicht können in das Computermodell eingegeben werden. Der Brechungsindex und die Dicke (z.B. t1 und t2) des nicht geätzten Schichtstapels des tatsächlichen Retikels können durch ein Ellipsometer gemessen werden. Das Maskenmuster hat außerdem eine anpassbare zugeordnete CD.
  • Die optische Konfiguration der Inspektionsmaschine kann ebenfalls modelliert werden. Zusätzlich kann die Aberration der Inspektionsmaschine charakterisiert und periodisch gemessen und in das Modell eingegeben werden. Beispielsweise kann eine interferometrische Technik verwendet werden, um die Aberrationen der Inspektionsmaschine zu messen.
  • Ein anderer Zugang zur Messung von Aberrationen unter Verwendung einer Diagnosestruktur wird weiter beschrieben im US-Patent 9,335,206 , ausgegeben am 10. Mai 2016, von Qiang Zhang et al., welches hierin durch Verweis zur Gänze für alle Zwecke aufgenommen wird. In einer Herangehensweise ist das Diagnosetestmuster dazu ausgebildet, EUV-Lichtintensität zu beugen, um die Pupille im Wesentlichen so gleichförmig wie möglich zu füllen. Zusätzlich beinhalten Ausführungsformen von Diagnosemasken eine Teststruktur und umgebendes Hintergrundmaterial, das zu hohem Kontrast zwischen der abgebildeten Teststruktur und dem abgebildeten Hintergrund führt.
  • Die kritische laterale Dimension des Testmusters der Diagnosemaske kann so gewählt werden, dass sie zur Auflösung der Projektionsoptik vergleichbar oder darunter ist. In einer Ausführungsform ist das Testmuster gleich oder kleiner als einige Zehn Nanometer (nm). Die Diagnosemaske kann auch dazu ausgelegt sein, lithographische Strukturierung bei so einem feinen Auflösungsniveau zu unterstützen, und zugleich hohe optische Auflösung und hohen optischen Kontrast zu bieten, wenn die Teststruktur unter EUV-Licht abgebildet wird.
  • In einer Ausführungsform basiert die Diagnosemaske auf einem dünnen EUV-Multilayer-(ML)-Reflektor-Design, das aus zwei alternierenden Materialien geringer Absorption mit hohem Kontrast des Brechungsindexes zusammengesetzt ist. Im Gegensatz zu dem bei einem Produktionsretikel vorhandenen Reflektor, der typischerweise 40-60 Paare einer Mo/Si-Doppelschicht beinhaltet, beinhaltet die Diagnosemaske einen ML-Pfeiler oder ein ML-Pinhole mit nicht mehr als ungefähr 15 oder nicht mehr als ungefähr 10, etwa 5, Paaren einer Mo/Si-Doppelschicht. Die Folge der Verwendung von weniger als 10 Doppelschichten von Paaren des ML-Bereichs ist, dass die Bandbreite des Multilagenreflektors signifikant erhöht ist. Zusätzlich kann die Dicke oder Periode der Doppelschicht (z.B. Mo/Si) über den Bereich zwischen ungefähr 7,0-7,5 nm eingestellt werden, um die Reflektivität im interessierenden Winkelbereich weiter abzuflachen.
  • Im Allgemeinen kann Wellenfront-Aberration durch eine Phasenrekonstruktionsmethode gemessen werden, auf Grundlage der von Diagnoseteststrukturen erfassten Bilder unter Verwendung des Inspektionsabbildungssystems, dessen Wellenfront gemessen werden soll. Ein idealisiertes aberrationsfreies Bild der diagnostischen Struktur kann berechnet und mit dem entsprechenden gemessenen Bild verglichen werden, um eine Charakterisierung der Aberration des Inspektionssystems zu erhalten. Eine Phasenrekonstruktionstechnik kann verwendet werden, etwa die in P. Dirksen et al., „Characterization of a projection lens using the extended Nijboer-Zernike approach“, Proceedings of SPIE v4691, 1392 (2002), beschriebene Technik, welcher Artikel hierin durch Verweis aufgenommen wird.
  • Zurück zum Modell zur Bildung eines berechneten Retikelbildes, es beinhalten andere Modellparameter allgemein eine Beschreibung der Inspektionsmaschine und die Ansichtseinstellungen einer solchen Maschine, die die Detektion von reflektiertem und/oder transmittierten Licht von dem Retikel, eine bestimmte Wellenlänge, Fokus-Offset, die Pupillenverteilung der Beleuchtung, den Polarisationszustand der Beleuchtung, die numerische Apertur der Erfassungsoptik, die Aperturform, Pupillenfiltereinstellung, Analysatoreinstellung, etc. beinhalten können. Modell-Retikelbilder können für die Ansichten erzeugt werden, die verwendet wurden, um die tatsächlichen gemessenen Retikelbilder zu erhalten.
  • Im Allgemeinen berechnet das Computermodell, wie die Beleuchtung mit einem bestimmten Muster oder einer bestimmten Struktur, das/die auf dem Retikel geätzt ist, wechselwirkt. Zu diesem Zweck kann die Methode der Rigorous-Coupled-Wave-Analysis (RCWA) verwendet werden. Andere Methoden, etwa Finite-Difference-Time-Domain (FDTD), Randwertintegralgleichung, Volumenintegralgleichung, Finite-Elemente-Methode (FEM), Spektralelementmethode, Kirchhoff-Näherung, sind möglich.
  • Das Computermodell hat anpassbare Parameter, die nicht genau bekannt sind. Der bedeutendste anpassbare Parameter ist die Größe, die bestimmt/gemessen werden soll, die kritische Dimension (CD). Die Design-Datenbank beinhaltet Musterstrukturen mit den beabsichtigten Dimensionen, und das tatsächliche Retikel hat entsprechende Musterstrukturen, die von solchen beabsichtigten Dimensionen abweichen können, z.B. durch einen CD-Bias. Die Dimensionen der Musterstrukturen der Design-Datenbank können angepasst und dann verwendet werden, um das sich für solch ein angepasstes Muster ergebende Bild zu berechnen.
  • Zusätzlich zur CD kann das Modell andere anpassbare Parameter haben. Beispielsweise können einige Parameter des Abbildungssystems, etwa Fokus und Lichtintensität, fluktuieren und daher unbestimmt sein. Andere Modellparameter können „gut genug“ bekannt sein oder einen bestimmten hohen Grad an Bestimmtheit haben. Werden mehrere Parameter angepasst, werden die Ergebnisse weniger wiederholbar und weniger präzise. Dementsprechend wird die Anzahl der anpassbaren Parameter, die für das Modell ausgewählt werden, gegen das Ziel, genaue CD-Ergebnisse zu erhalten, abgewogen. Somit werden die Modellparameter gemessen und sind so weit als möglich bekannt. In einer Ausführungsform werden im Modell nur die CD und der Fokus angepasst, um das Modellbild zu erzeugen.
  • Falls der Effekt der unbestimmten Parameter auf das Bild von dem Effekt der CD hinreichend verschieden ist, werden die unbestimmten Abbildungsparameter zugleich mit der CD angepasst, um das berechnete Bild an das gemessene Bild anzupassen. In einer Ausführungsform ist der anpassbare Parameter ein gleichförmiger Bias ΔCD, so dass alle Kanten aller Formen in dem Muster in der Retikel-Datenbank mit ΔCD belegt werden.
  • Zurück zum Verfahren der 1, es wird aus dem gemessenen Bild 106 und dem vom Modell erzeugten berechneten Bild 112 in Schritt 114 eine Differenz gebildet und eine Norm der Differenz wird berechnet. Als Beispiel ist eine Norm der Differenz von Bildern eine Summe von Quadraten des Pixel-für-Pixel-Bildes. Andere Normen der Differenz von Bildern beinhalten die gewichtete Summe von Quadraten, die Summe von Absolutwerten, oder das Maximum der Absolutwerte von Pixel-für-Pixel-Bilddifferenzen.
  • Ein iterativer Prozess kann dann durchgeführt werden, um eine oder mehrere Differenzgrößen zu minimieren. Wie gezeigt, kann in Schritt 116 bestimmt werden, ob die Norm der Differenz hinreichend klein ist. Wenn die Größe nicht geeignet klein ist, können in Schritt 118 die Schätzwerte der CD und der anderen ein oder mehreren unbekannten Parameter in einer Weise verändert werden, die solch eine Norm der Differenz vermindert. Ist die Norm der Differenz hinreichend klein, so kann in Schritt 120 die Modell-CD, die zu der minimierten Norm der Differenz von dem Bildvergleich geführt hat, ausgegeben werden. Diese Modell-CD (wie z.B. in 2 gezeigt) kann dann als die tatsächliche oder gemessene CD des entsprechenden Musters definiert werden.
  • Im Allgemeinen werden das berechnete und das gemessene Bild angepasst, um eine Norm der Differenz zu erhalten. Dieser Anpassprozess kann durchgeführt werden, indem eine Norm der Differenz des berechneten und des gemessenen Bildes minimiert wird. Beispiele von geeigneten Normen, die gut funktionieren, beinhalten gewichtete I1- und I2-Normen wie im Folgenden: I c a l c I m e a s 1 = v x y | I c a l c ( x , y , v ) I m e a s ( x , y , v ) | w ( x , y , v )
    Figure DE112016004012T5_0001
    ( I c a l c I m e a s 2 ) 2 = v x y ( I c a l c ( x , y , v ) I m e a s ( x , y , v ) ) 2 w ( x , y , v )
    Figure DE112016004012T5_0002
  • Die Verwendung der I2-Norm (Summe quadrierter Fehler) erlaubt es Algorithmen, die die I2-Norm minimieren, schneller zu laufen. Die Summierung für jede Musterstruktur kann über mehrere Bildpixel x, y und durch v indizierte Ansichten erfolgen.
  • Wenn das Modell eine Eingabe hat, welche den tatsächlichen Parametern des gemessenen Bildes, etwa der CD, präzise entspricht, dann sind die obigen Größen der Gleichung 1 und 2 Null. Weicht die als Eingabe an das Modell ausgewählte CD von der tatsächlichen CD der abgebildeten Struktur ab, ist die Größe für Gleichung 1 und 2 eine positive Zahl.
  • Die Größe w(x, y, v) ist eine positive Wichtung, die relative Werte für verschiedene Sätze von Pixeln und Ansichten setzt. Spezifische Gewichte können für bestimmte Positionen und Ansichten auf Grundlage der Präzision des Bildes festgesetzt werden. Ansichten oder Teile des Bildes mit stärkerem Rauschen erhalten niedrigere Gewichte.
  • Berechnete Bilder können an die gemessenen Bilder für eine einzige Ansicht oder für mehrere Ansichten angepasst werden, wie für Gleichungen 1 und 2 gezeigt. Eine Ansicht bezieht sich auf eine bestimmte Abbildungsbedingung, etwa Bilder, die durch reflektiertes oder transmittiertes Licht entstehen. Ansichten können sich durch einen oder mehrere der folgenden Parameter unterscheiden: Detektion von reflektiertem und/oder transmittiertem Licht von dem Retikel, eine bestimmte Wellenlänge, Fokus-Offset, die Pupillenverteilung der Beleuchtung, den Polarisationszustand der Beleuchtung, die numerische Apertur der Erfassungsoptik, die Form der Apertur, die Pupillenfiltereinstellung, die Analysatoreinstellung, etc.
  • Wird mehr als eine Ansicht verwendet, können die gemessenen Bilder der mehreren Ansichten gleichzeitig mit einem physikalischen Modell angepasst werden, das einen einzigen anpassbaren CD-Parameter hat. Mehrere Ansichten schränken die anpassbare CD besser ein und reduzieren die CD-Messunsicherheit.
  • In einer Herangehensweise werden reflektierte und transmittierte Bilder gleichzeitig angepasst. In einem Beispiel gibt es nur einen anpassbaren CD-Parameter und nur einen Fokus-Parameter, die den reflektierten und transmittierten Bildern gemeinsam sind. In einer anderen Methode werden zwei transmittierte Bilder mit bekanntem Fokus-Offset gleichzeitig angepasst. In diesem Beispiel gibt es nur einen anpassbaren CD-Parameter und nur einen Fokusparameter, der angepasst werden muss.
  • Um die CD zu bestimmen, kann ein Ausdruck der Differenz zwischen dem Modell- und dem gemessenen Bild als Norm für eine bestimmte CD in einer geeigneten Weise minimiert werden. Methoden zur Minimierung eines Ausdrucks wie in Gleichung 2 sind wohlbekannt. In einer spezifischen Ausführung kann die Minimierung wie folgt erzielt werden: min C D , p v x y ( I c a l c ( x , y , v , C D , p ) I m e a s ( x , y , v ) ) 2 w ( x , y , v )
    Figure DE112016004012T5_0003
  • Die Minimierung von Gleichung 3 erfolgt bezüglich CD und p. Letztere Größe, p, ist das Feld unbestimmter Parameter des Abbildungssystems. Die unbestimmten Parameter können beispielsweise den Fokus und die Beleuchtungsintensität beinhalten.
  • In einer alternativen Ausführungsform kann eine Schätzung der maximalen A-Posteriori-Wahrscheinlichkeit (MAP) verwendet werden. Die Anwendung von MAP auf berechnete und gemessene Retikelbilder kann durch Gleichung 4 ausgedrückt werden: min C D , p { v x y ( I c a l c ( x , y , v , C D , p ) I m e a s ( x , y , v ) ) 2 σ 2 ( x , y , v ) + ( p i E ( p i ) ) 2 σ 2 ( p i ) }
    Figure DE112016004012T5_0004
    σ(x, y, v) ist die Unsicherheit der Intensitätsmessung bei Pixel (x,y) in Ansicht v, und pi ist der i-te unbestimmte Parameter des Abbildungssystems. Beispielsweise ist p1 der Fokus und p2 ist die Lichtintensität. E(pi) ist der Erwartungswert von pi; σ-(p1) ist die Unsicherheit in pi. Jede Größe kann reziprok zu ihrer Unsicherheit gewichtet werden. Die Unsicherheit für jeden unbestimmten Parameter kann von den Design-Toleranzen des Abbildungsinstruments bestimmt werden oder indem Datenwerte des unbestimmten Parameters gemessen und die Varianz der Datenwerte berechnet wird. Diese Wichtungstechnik kann die relative Wichtigkeit der Terme in obiger Gleichung 4 richtig festsetzen.
  • Die allgemeinste Formulierung von MAP beinhaltet die Minimierung der Wahrscheinlichkeitsverteilungsfunktion unbekannter Parameter bei gegebener Beobachtung oder pdf(unbekannte Parameter | Beobachtungen). Diese Formulierung reduziert sich auf die obige Gleichung 4, wenn alle Messfehler und unbekannten Parameter gaussverteilt sind und falls alle Größen statistisch unabhängig sind.
  • Bestimmte Ausführungsformen der vorliegenden Erfindung ermöglichen es, das gesamte Bild zur Bestimmung der CD gemäß einer Maximum-Likelihood-Schätzmethode zu verwenden. Alte Verfahren benutzen lediglich den Teil des Bildes mit Bezug auf eine Schwelle. Die oben beschriebenen Techniken brauchen keine Schwelle zu verwenden. 3 ist ein Graph der Ergebnisse der Durchführung einer Regressionsanalyse zwischen berechneten und gemessenen Retikelbildern gemäß einer Ausführungsform der vorliegenden Erfindung. 3 entspricht tatsächlichen Daten und zeigt, dass die Modellausgabe gut zu dem tatsächlichen Bild passt. Das heißt, die Daten der reflektierten Intensität (302a), die für eine Linienstruktur gemessen werden, passen genau zu den berechneten (oder gerenderten) Daten der reflektierten Intensität (302b) für solch eine Linienstruktur. Ebenso passen die gemessenen Daten der transmittierten Intensität (304a) gut zu den gerenderten Daten der transmittierten Intensität (304b). Somit ergibt sich eine gemeinsame CD bei der Anpassung der Modell-Intensitätsdaten und der tatsächlichen Intensitätsdaten sowohl für die reflektierte als auch die transmittierte Ansicht. Demgemäß, da ein gleiches CD-Ergebnis für verschiedene Ansichtsparameter für eine gleiche Retikelstruktur erhalten wird, scheint die Technik einen genauen Mechanismus zu bieten, den CD-Bias zu finden.
  • Die Ergebnisse für den CD-Bias können kombiniert werden, um eine Karte der CD-Gleichförmigkeit (CDU-Karte) für das gesamte Retikel zu bilden. Eine CDU-Karte kann dann analysiert werden, um zu bestimmen, ob das Retikel innerhalb von Spezifikationen ist, wie hierin weiter beschrieben. Ausführungsformen einer CDU-Karte können jede geeignete Form haben. Beispielsweise kann eine CDU-Karte in Textform als eine Liste durchschnittlicher CD-Schwankungswerte für jeden Bereich des Retikels dargestellt werden. Jeder durchschnittliche CD-Schwankungswert kann zusammen mit entsprechenden Retikelbereichs-Koordinaten aufgelistet werden. Eine CDU-Karte kann auch durch eine Metrik dargestellt werden, etwa die Standardabweichung oder Varianz der Gitterpunkt-Differenzwerte. Alternativ oder zusätzlich kann eine CDU-Karte visuell dargestellt werden, so dass unterschiedliche CD-Schwankungswerte oder-bereiche in unterschiedlichen visuellen Arten gezeigt werden, etwa als unterschiedlich gefärbte Retikelgebiete, unterschiedliche Höhen von Balkendiagrammen, unterschiedliche Graphenwerte, oder dreidimensionale Darstellungen, etc. Eine CDU-Karte kann mit unterschiedlichen Gitterpunkt-Abtastgrößen oder durch Anpassungen an unterschiedliche Funktionsformen, etwa an ein Polynom oder an eine Fouriertransformation dargestellt werden.
  • Diese CDU-Karten können für einen Hersteller von Halbleiter-Chips wichtig sein, um das Prozess-Fenster zu verstehen, das sich aus der Verwendung des Retikels ergibt. Eine CDU-Karte kann es einem Chip-Hersteller ermöglichen, zu bestimmen, ob das Retikel verwendet wird, ob eine Kompensation für die Fehler im Lithographie-Prozess angewendet wird oder die Herstellung eines Retikels verbessert wird, um ein verbessertes nächstes Retikel herzustellen. Eine CDU-Karte kann für ein neu hergestelltes Retikel erzeugt und analysiert werden, um fehlerbehaftete Bereiche aus der Herstellung zu detektieren, oder auf einem Retikel durchgeführt werden, dass einmal oder mehrere Male in einem Photolithographieprozess verwendet worden ist, um Strukturveränderungen zu überwachen und/oder Beeinträchtigung zu detektieren.
  • 4 ist ein Flussdiagramm, das ein Verfahren 400 zur Analyse einer CDU-Karte zeigt, gemäß einer Ausführungsform der vorliegenden Erfindung. Zunächst kann in Schritt 402 eine CDU-Karte erhalten werden. Beispielsweise wird eine CDU-Karte erhalten, indem das Verfahren aus 1 zur Bestimmung eines CD-Bias für mehrere Muster / Strukturen auf dem Retikel durchgeführt wird.
  • Es kann dann in Schritt 404 bestimmt werden, ob die CDU-Karte außerhalb der Spezifikation ist. Es kann beispielsweise festgestellt werden, ob die CDU-Schwankung für einen bestimmten Retikelbereich oberhalb einer vordefinierten Schwelle liegt. Falls die CDU-Schwankung nicht oberhalb der vordefinierten Schwelle liegt, kann das Retikel in Schritt 405 verwendet werden, um Wafer herzustellen.
  • Falls die CDU-Schwankung oberhalb der vordefinierten Schwelle liegt, kann in Schritt 408 eine gemeinsame Signatur für die CDU-Karte außerhalb der Spezifikation erhalten werden, die einem zugehörigen Prozess oder Muster als einer Ursache entspricht. Eine CDU-Karte kann beispielsweise verwendet werden, um Problembereiche auf den Retikeln zu verfolgen, die von Problemen in der Retikelherstellung oder -bearbeitung verursacht werden oder durch Beeinträchtigung eines Retikels im Laufe der Zeit, etwa Chrom-, MoSi-, Pellikel-, Reinigungs-Beeinträchtigungen. Anders ausgedrückt kann eine bestimmte CDU-Karte außerhalb der Spezifikation eine bestimmte Signatur haben, die zuvor mit einer bestimmten Ursache assoziiert wurde. Beispielsweise können vorangehende Inspektionen und Analyse des Retikels oder der Retikelbearbeitung ursächliche Probleme und assoziierte CDU-Signaturen aufgedeckt haben.
  • Zurück zum gezeigten Beispiel, es kann dann in Schritt 410 bestimmt werden, ob das Retikelmuster oder der Prozess eine Ursache ist. Beispielsweise kann bestimmt werden, ob die CDU-Karte eine Signatur hat, die mit einer bestimmten Ursache, etwa einem schmutzigen Pellikel, assoziiert worden ist. Falls das Retikelmuster eine Ursache ist, kann in Schritt 414 bestimmt werden, ob das Retikel reparierbar ist. Ist das Retikel nicht reparierbar, kann es in Schritt 418 verworfen (und ein neues Retikel hergestellt) werden. Andernfalls wird das Retikel in Schritt 416 repariert. Beispielsweise können bestimmte Defekte von dem Retikel durch Säuberung entfernt werden. Beispielsweise kann das Pellikel gereinigt oder ersetzt werden, oder zusätzliche Retikelbereiche können geätzt oder entfernt werden. Nach der Reparatur kann eine neue Inspektion des reparierten Retikels durchgeführt werden, und die Prozeduren zur Erzeugung und Analyse einer CDU-Karte können wiederholt werden. Falls das reparierte Retikel innerhalb der Spezifikation liegt, kann das reparierte Retikel in Schritt 405 zur Herstellung von Wafern verwendet werden. In einem alternativen Beispiel kann der Lithographie-Prozess in Schritt 417 angepasst werden, um das außerhalb der Spezifikation liegende Retikel zu kompensieren, z.B. indem die Dosis oder der Fokus modifiziert wird, und der neue Prozess kann mit dem Retikel in Schritt 405 verwendet werden. Falls andererseits der Prozess zur Herstellung des Retikels eine Ursache ist, kann in Schritt 412 der Herstellungsprozess für das Retikel angepasst werden (und das Retikel wird verworfen und ein neues Retikel wird hergestellt).
  • Während der Inspektion kann eine Vielzahl von Bildern des Retikels unter Verwendung einer optischen Inspektionsmaschine erhalten werden. Während der Bilderfassung werden mehrere Bilder für mehrere Zielstrukturen auf dem Retikel erhalten. Bilder können von individuellen Bereichen jedes Dies eines Satzes von Dies eines Retikels erhalten werden. Beispielsweise kann eine Inspektionsmaschine einsetzbar sein, um reflektiertes oder transmittiertes Licht oder sowohl reflektiertes als auch transmittiertes Licht zu detektieren und zu erfassen, während ein einfallender optischer Strahl über das Retikel scannt (oder sich zu jedem Bild des Retikels bewegt). Ein einfallender optischer Strahl kann über Retikelstreifen scannen, von denen jeder eine Vielzahl an Bildern umfasst. Licht wird in Antwort auf diesen einfallenden Strahl von einer Vielzahl von Punkten oder Teilbereichen eines jeden Bildes erfasst.
  • Die Inspektionsmaschine kann allgemein betreibbar sein um solches detektierte Licht in detektierte Signale umzusetzen, die Intensitätswerten entsprechen. Die detektierten Signale können die Gestalt einer elektromagnetischen Wellenform annehmen, mit Amplitudenwerten, die unterschiedlichen Intensitätswerten an unterschiedlichen Positionen des Retikels entsprechen. Die detektierten Signale können auch die Form einer einfachen Liste von Intensitätswerten und zugehörigen Koordinaten von Retikelpunkten haben. Die detektierten Signale können auch die Gestalt eines Bildes haben, mit unterschiedlichen Intensitätswerten, die unterschiedlichen Positionen oder Scanpunkten auf dem Retikel entsprechen. Ein Retikelbild kann erzeugt werden, nachdem alle Positionen des Retikels gescannt sind und Licht detektiert wurde, oder es können Teilbereiche eines Retikelbildes erzeugt werden, während jeder Retikelteilbereich gescannt wird.
  • Jeder Satz Intensitätsdaten kann erhalten werden, indem Bilder des Retikels sequenziell in einem Serpentinen- oder Rastermuster gescannt werden. Beispielsweise wird ein erster Streifen des Retikelteilbereichs von einem optischen Strahl eines optischen Inspektionssystems von links nach rechts in einer positiven x-Richtung gescannt, zum Beispiel, um einen ersten Satz an Intensitätsdaten zu erhalten. Das Retikel wird dann relativ zum Strahl in einer y-Richtung bewegt. Ein zweiter Streifen wird dann von rechts nach links in einer negativen x-Richtung gescannt, um einen zweiten Satz an Intensitätsdaten zu erhalten. Streifen werden sequenziell von der untersten Zeile Dies zur obersten Zeile Dies oder umgekehrt gescannt. Alternativ können Bilder des Retikels durch Bewegung zu jedem einzelnen Zielgebiet des Retikels erhalten werden.
  • In einer Ausführungsform kann der integrierte Intensitätswert für jedes Bild auf Grundlage reflektierten Lichts, transmittierten Lichts oder beider erzeugt werden, wie sie während Retikelinspektionen detektiert werden. In einem Ausführungsbeispiel können die reflektierten (R) und transmittierten (T) Werte kombiniert werden gemäß (T-R)/2. Das reflektierte Signal hat typischerweise das umgekehrte Vorzeichen des transmittierten Signals. Daher zählt die Subtraktion der T- und R-Signale die Signale zusammen. Da die Rauschquellen für T und R verschieden sind, kann das Rauschen tendenziell aus dem kombinierten Signal ausgemittelt werden. Andere Gewichtungen von R- und/oder T-Werten können verwendet werden, um integrierte Intensitätswerte für ein Bild mit assoziierten Vorteilen zu erzeugen. In manchen Fällen können R- und T-Signale für bestimmte Bereiche ein gleiches Vorzeichen haben, an Stelle eines entgegengesetzten Vorzeichens, was anzeigen kann, dass die Ergebnisse in den zugehörigen Bereichen inkonsistent sind und möglicherweise nicht vertrauenswürdig sind. Daher könnte in solchen Bereichen die Kombination von R und T niedriger gewichtet werden oder aus der Berechnung entfernt werden, falls sie nicht hinreichend vertrauenswürdig ist. Techniken der vorliegenden Erfindung können in jeder geeigneten Kombination von Hardware und/oder Software umgesetzt werden. 5 ist eine schematische Darstellung eines Beispiels eines Inspektionssystems 500, in dem Techniken der vorliegenden Erfindung eingesetzt werden können. Das Inspektionssystem 500 kann eine Eingabe 502 von einer Inspektionsmaschine oder einem Scanner (nicht gezeigt) erhalten. Das Inspektionssystem 500 kann auch eine Eingabe 502 von einem Modell erhalten, das Bilder des Retikels auf Grundlage der Design-Datenbank modelliert (oder das System 500 kann die Design-Datenbank erhalten und dann solche modellierten Bilder erzeugen). Das Inspektionssystem kann auch ein Datenverteilungssystem (z.B. 504a und 504b) zur Verteilung der erhaltenen Eingabe 502 beinhalten, ein Verarbeitungssystem für Intensitätssignale (oder Bilder) (z.B. Bildprozessoren und Speicher 506a und 506b) zur Verarbeitung bestimmter Bilder oder Bildteilbereiche der empfangenen Eingabe 502, ein Kartenerzeugersystem (z.B. Kartenerzeugerprozessor und Speicher 512) zur Erzeugung von ΔCD-Karten, ein Netzwerk (z.B. geswitchtes Netzwerk 508) um Kommunikation zwischen den Komponenten des Inspektionssystems zu ermöglichen, ein optionales Massenspeichergerät 516 und eine oder mehrere Inspektionssteuer- und/oder Review-Stationen (z.B. 510) zur Begutachtung der Karten. Jeder Prozessor des Inspektionssystems 500 kann typischerweise einen oder mehrere integrierte Mikroprozessorschaltkreise beinhalten und kann auch integrierte Schaltkreise für Schnittstellen und/oder Speicher beinhalten und kann zusätzlich an ein oder mehrere geteilte und/oder globale Speichergeräte gekoppelt sein.
  • Der Scanner oder das Datenerfassungssystem (nicht gezeigt) zur Erzeugung von Eingabedaten 502 kann jedes geeignete Instrument sein (z.B. wie hierin weiter beschrieben), um Intensitätssignale oder Bilder von einem Retikel zu erhalten. Beispielsweise kann der Scanner ein optisches Bild erzeugen oder Intensitätswerte eines Teilbereichs des Retikels erzeugen, auf Grundlage eines Teils detektierten Lichts das reflektiert, transmittiert oder anderweitig auf einen oder mehrere Lichtsensoren gerichtet wird. Der Scanner kann dann die Intensitätswerte ausgeben oder das Bild kann von dem Scanner ausgegeben werden.
  • Das Retikel ist allgemein in eine Vielzahl von Bildteilbereichen unterteilt, von denen mehrere Intensitätswerte von mehreren Punkten erhalten werden. Die Bildteilbereiche des Retikels können gescannt werden, um diese Intensitätsdaten zu erhalten. Die Bildteilbereiche können jede Größe und Form haben, abhängig von den besonderen Anforderungen des Systems und der Anwendung. Im Allgemeinen können mehrere Intensitätswerte für jeden Bildteilbereich erhalten werden, indem das Retikel in einer geeigneten Weise gescannt wird. Beispielsweise können mehrere Intensitätswerte für jeden Bildteilbereich erhalten werden, indem das Retikel gemäß einem Raster gescannt wird. Alternativ können die Bilder erhalten werden, indem das Retikel in einem beliebigen geeigneten Muster gescannt wird, etwa einem Kreis- oder Spiralmuster. Natürlich kann es sein, dass die Sensoren andersartig (z.B. in einem kreisförmigen Muster) angeordnet werden müssen und/oder das Retikel während des Sannens andersartig bewegt (z.B. rotiert) wird, um eine Kreis- oder Spiralform von dem Retikel zu scannen.
  • In dem unten beschriebenen Beispiel wird, während sich das Retikel an den Sensoren vorbeibewegt, Licht von einem rechteckigen Bereich (hierin als ein „Streifen“ bezeichnet) des Retikels detektiert, und solches detektierte Licht wird in mehrere Intensitätswerte bei mehreren Punkten in jedem Bild umgewandelt. In dieser Ausführungsform sind die Sensoren des Scanners in einem Rechteckmuster angeordnet, um Licht zu empfangen, das von dem Retikel reflektiert und/oder transmittiert wird, und daraus einen Satz an Intensitätsdaten zu erzeugen, der einem Streifen von Bildern des Retikels entspricht.
  • Intensitätswerte für jedes Bild können durch Verwendung einer optischen Inspektionsmaschine erhalten werden, die in jeglicher geeigneten Weise konfiguriert ist. Die optische Maschine ist allgemein mit einem Satz an Betriebsparametern oder einem „Recipe“ konfiguriert, das für die verschiedenen Inspektionsdurchläufe zum Erhalt der Intensitätswerte im Wesentlichen dasselbe ist. Recipe-Einstellungen können eine oder mehrere der folgenden Einstellungen beinhalten: eine Einstellung zum Scannen des Retikels in einem bestimmten Muster, Pixelgröße, eine Einstellung zum Gruppieren von einzelnen Signalen benachbarten Signalen, eine Fokuseinstellung, eine Einstellung für die Beleuchtungs- oder Detektionsapertur, eine Einstellung für den Winkel und die Wellenlänge des einfallenden Strahls, eine Detektoreinstellung, eine Einstellung für die Menge des reflektierten oder transmittierten Lichts, Parameter für die Aerial-Modellierung, etc.
  • Intensitäts- oder Bilddaten 502 können von dem Datenverteilungssystem über Netzwerk 508 empfangen werden. Das Datenverteilungssystem kann mit einem oder mehreren Speichergeräten assoziiert sein, etwa RAM-Puffern, um zumindest einen Teil der empfangenen Daten 502 zu enthalten. Vorzugsweise ist der gesamte Speicher groß genug, einen gesamten Streifen von Daten zu enthalten. Beispielsweise funktioniert ein Gigabyte Speicher gut für einen Streifen von 1 Million auf 1000 Pixeln oder Punkten.
  • Das Datenverteilungssystem (z.B. 504a und 504b) kann auch die Verteilung von Teilen der empfangenen Eingabedaten 502 auf die Prozessoren (z.B. 506a und 506b) steuern. Zum Beispiel kann das Datenverteilungssystem Daten für ein erstes Bild zu einem ersten Bildprozessor 506a leiten, und kann Daten für ein zweites Bild zu Bildprozessor 506b leiten. Es können auch mehrere Sätze von Daten für mehrere Bilder an jeden Bildprozessor geleitet werden.
  • Die Bildprozessoren können Intensitätswerte oder ein Bild empfangen, das zumindest einem Bildteilbereich des Retikels entspricht. Jeder der Bildprozessoren kann auch mit einem oder mehreren Speichergeräten (nicht gezeigt) verbunden oder damit integriert sein, etwa DRAM-Geräten, die lokale Speicherfunktionen bereitstellen, etwa den empfangenen Teil der Daten zu enthalten. Vorzugsweise ist der Speicher groß genug, um Daten zu enthalten, die einem Bild des Retikels entsprechen. Beispielsweise funktionieren acht Megabyte Speicher gut für Intensitätswerte oder ein Bild, die einem Bild mit 512 auf 1024 Pixel entsprechen. Die Bildprozessoren können auch Speicher teilen.
  • Jeder Satz an Eingabedaten 502 kann einem Streifen des Retikels entsprechen. Ein oder mehrere Datensätze können im Speicher des Datenverteilungssystems gespeichert werden. Dieser Speicher kann durch einen oder mehrere Prozessoren innerhalb des Datenverteilungssystems gesteuert werden, und der Speicher kann in eine Vielzahl von Partitionen unterteilt sein. Beispielsweise kann das Datenverteilungssystem Daten, die einem Teilbereich eines Streifens entsprechen, in eine erste Speicherpartition (nicht gezeigt) empfangen, und das Datenverteilungssystem kann andere Daten, die einem anderen Streifen entsprechen, in eine zweite Speicherpartition (nicht gezeigt) empfangen. Vorzugsweise enthält jede der Speicherpartitionen des Datenverteilungssystems lediglich die Teilbereiche der Daten, die zu einem Prozessor geleitet werden sollen, der mit dieser Speicherpartition assoziiert ist. Beispielsweise kann die erste Speicherpartition des Datenverteilungssystems erste Daten enthalten und an Bildprozessor 506a leiten, und die zweite Speicherpartition kann zweite Daten enthalten und an Bildprozessor 506b leiten.
  • Die detektierten Signale können auch die Form von Aerial-Bildern haben. Das heißt, eine Aerial-Abbildungstechnik kann verwendet werden, um die optischen Wirkungen des Photolithographiesystems zu simulieren, um ein Aerial-Bild des Photolackmusters zu erzeugen, das auf dem Wafer belichtet wird. Im Allgemeinen wird die Optik der Photolithographiemaschine emuliert, um ein Aerial-Bild auf Grundlage der vom Retikel detektierten Signale zu erzeugen. Das Aerial-Bild entspricht dem Muster, das von dem Licht erzeugt wird, das durch die Photolithographie-Optik und das Retikel auf die Photolackschicht eines Wafers geleitet wird. Zusätzlich kann auch der Belichtungsprozess des Photolacks für eine bestimmte Art von Photolackmaterial emuliert werden.
  • Das einfallende Licht oder das detektierte Licht können durch jegliche geeignete räumliche Apertur geleitet werden, um ein beliebiges Profil des einfallenden oder detektierten Lichts bei beliebigen geeigneten Winkeln zu erzeugen. Beispielsweise können programmierbare Beleuchtungs- oder Detektionsaperturen verwendet werden, um ein bestimmtes Strahlprofil zu erzeugen, etwa Dipol, Quadrupol, Quasar, Ring, etc. In einem spezifischen Beispiel können Optimierung der Quellenmaske (Source Mask Optimization, SMO) oder eine beliebige pixelbasierte Beleuchtungstechnik eingesetzt werden.
  • Das Datenverteilungssystem kann jeden Datensatz der Daten auf Grundlage beliebiger geeigneter Parameter der Daten definieren und verteilen. Beispielsweise können die Daten auf Grundlage der entsprechenden Position des Bildes auf dem Retikel definiert und verteilt werden. In einer Ausführungsform ist jeder Streifen mit einem Bereich an Spaltenpositionen assoziiert, der horizontalen Pixelpositionen innerhalb des Streifens entspricht. Beispielsweise können die Spalten 0 bis 256 des Streifens einem ersten Bild entsprechen, und die Pixel innerhalb dieser Spalten umfassen das erste Bild oder den ersten Satz an Intensitätswerten, der an einen oder mehrere Bildprozessoren geleitet wird. In gleicher Weise können die Spalten 257 bis 512 des Streifens einem zweiten Bild entsprechen, und die Pixel innerhalb dieser Spalten umfassen das zweite Bild oder den zweiten Satz an Intensitätswerten, der an einen anderen Bildprozessor / an andere Bildprozessoren geleitet wird.
  • 6A ist eine vereinfachte schematische Darstellung eines typischen lithographischen Systems 600, das verwendet werden kann, ein Maskenmuster von einer Photomaske M auf einen Wafer W gemäß bestimmter Ausführungsformen zu übertragen. Beispiele solcher Systeme beinhalten Scanner und Stepper, genauer das TWINSCAN-System, das von ASML in Veldhoven, Niederlande, erhältlich ist. Im Allgemeinen richtet eine Beleuchtungsquelle 603 einen Lichtstrahl durch eine Beleuchtungsoptik 607 (z.B. Linse 605) auf eine Photomaske M, die in einer Maskenebene 602 befindlich ist. Die Beleuchtungslinse 605 hat in dieser Ebene 602 eine numerische Apertur 601. Der Wert der numerischen Apertur 601 wirkt sich darauf aus, welche Defekte auf der Photomaske lithographisch signifikante Defekte sind und welche nicht. Ein Teil des Strahls, der durch die Photomaske M läuft, bildet ein gemustertes optisches Signal, das durch die Abbildungsoptik 613 und auf einen Wafer W gerichtet wird, um den Mustertransfer zu beginnen.
  • 6B zeigt eine schematische Darstellung eines Beispiels eines Inspektionssystems 650 mit Beleuchtungsoptik 651a, das eine Abbildungslinse mit einer relativ großen numerischen Apertur 651b in einer Retikelebene 652 hat, gemäß bestimmten Ausführungsformen. Das dargestellte Inspektionssystem 650 beinhaltet Detektionsoptik 653a und 653b, die eine Mikroskopvergrößerungsoptik beinhaltet, die dazu ausgelegt ist, beispielsweise 60-300-fache Vergrößerung oder mehr für eine verbesserte Inspektion bereitzustellen. Beispielsweise kann die numerische Apertur 651b in der Retikelebene 652 des Inspektionssystems erheblich größer sein als die numerische Apertur 601 in der Retikelebene 602 des Lithographiesystems 600, was zu Unterschieden zwischen Testinspektionsbildern und tatsächlich erzeugten Bildern führen würde.
  • Die hierin beschriebenen Inspektionstechniken können auf verschiedenen speziell konfigurierten Inspektionssystemen implementiert werden, etwa dem in 6B schematisch gezeigten. Das gezeigte System 650 beinhaltet eine Beleuchtungsquelle 660, die einen Lichtstrahl erzeugt, der durch die Beleuchtungsoptik 651a auf eine Photomaske M in der Retikelebene 652 gerichtet wird. Beispiele von Lichtquellen beinhalten Laser oder gefilterte Lampen. In einem Beispiel ist die Quelle ein 193nm-Laser. Wie oben erläutert kann das Inspektionssystem 650 eine numerische Apertur 651b in der Retikelebene 652 haben, die größer sein kann als eine numerische Apertur in der Retikelebene (z.B. Element 601 in 6A) des entsprechenden Lithographiesystems. Die Photomaske M, die inspiziert werden soll, wird in der Retikelebene 652 auf einen Maskentisch gelegt und der Quelle ausgesetzt.
  • Das gemusterte Bild von der Maske M wird durch eine Anzahl optischer Elemente 653 gerichtet, welche das gemusterte Bild auf einen Sensor 654a projizieren. In einem reflektierenden System richten und erfassen optische Elemente (z.B. Strahlteiler 676 und Detektionslinse 678) das reflektierte Licht auf Sensor 654b. Geeignete Sensoren beinhalten Charge-Coupled-Devices (CCD), CCD-Arrays, Time-Delay-Integration-(TDI)-Sensoren, TDI-Sensor-Arrays, Photovervielfacherröhren (PMT) und andere Sensoren.
  • Die Beleuchtungsoptiksäule kann relativ zum Maskentisch und/oder der Tisch relativ zu einem Detektor oder einer Kamera durch einen beliebigen geeigneten Mechansimus bewegt werden, um Bilder des Retikels zu scannen. Beispielsweise kann ein Motormechanismus verwendet werden, um den Tisch zu bewegen. Der Motormechanismus kann beispielsweise aus einem Spindeltrieb und einem Schrittmotor gebildet sein, einem Linearantrieb mit Positions-Feedback oder einem Bandaktor und Schrittmotor.
  • Die von jedem Sensor (z.B. 654a und/oder 654b) erfassten Signale können durch ein Computersystem 673 verarbeitet werden, oder allgemeiner, durch ein oder mehrere Signalverarbeitungsgeräte, von denen jedes einen Analog-Digital-Wandler beinhalten kann, der dazu ausgebildet ist, analoge Signale von jedem Sensor in digitale Signale für die Verarbeitung umzuwandeln. Das Computersystem 673 hat typischerweise einen oder mehrere Prozessoren, die über geeignete Bus-Systeme oder andere Kommunikationsmechanismen an Ein-/Ausgabe-Ports und einen oder mehrere Speicher gekoppelt sind.
  • Das Computersystem 673 kann auch ein oder mehrere Eingabegeräte (z.B. eine Tastatur, eine Maus, einen Joystick) beinhalten, um Benutzereingaben bereitzustellen, etwa eine Veränderung des Fokus und anderer Parameter des Inspektions-Recipes. Das Computersystem 673 kann auch mit dem Tisch verbunden sein, um beispielsweise eine Probenposition zu steuern (z.B. Fokussieren und Scannen), und kann mit anderen Komponenten des Inspektionssystems verbunden sein, um andere Inspektionsparameter und Konfigurationen solcher Inspektionssystemkomponenten zu steuern.
  • Das Computersystem 673 kann dazu ausgebildet sein (z.B. mit Programmanweisungen), eine Benutzerschnittstelle (z.B. einen Computerbildschirm) bereitzustellen, um sich ergebende Intensitätswerte, Bilder, und andere Inspektionsergebnisse, etwa CD-Bias-Werte und Karten anzuzeigen. Das Computersystem 673 kann dazu ausgebildet sein, Intensitätsveränderungen, Phase, CDU-Karten und/oder Eigenschaften des reflektierten und/oder transmittierten empfangenen Lichtstrahls zu analysieren. Das Computersystem 673 kann dazu ausgebildet sein (z.B. mit Programmanweisungen), eine Benutzerschnittstelle (z.B. einen Computerbildschirm) bereitzustellen, um sich ergebende Intensitätswerte, Bilder, und andere Inspektionseigenschaften anzuzeigen. In bestimmten Ausführungsformen ist das Computersystem 673 dazu ausgebildet, oben erläuterte Inspektionstechniken auszuführen.
  • Weil solche Information und Programmanweisungen auf einem speziell ausgebildeten Computersystem implementiert werden können, beinhaltet solch ein System Programmanweisungen / Computercode, um verschiedene hierin beschriebene Schritte durchzuführen, die auf einem computerlesbaren Medium gespeichert werden können. Beispiele maschinenlesbarer Medien beinhalten, ohne aber darauf beschränkt zu sein, magnetische Medien wie etwa Festplatten, Floppy-Disks und Magnetband; optische Medien, etwa CD-ROM; magnetoptische Medien, etwa optische Disks; und Hardwareelemente, die speziell dazu ausgebildet sind, Programmanweisungen zu speichern und auszuführen, etwa Nur-Lese-Speicher-Bauelemente (ROM) und Speicher mit wahlfreiem Zugriff (RAM). Beispiele von Programmanweisungen beinhalten sowohl Maschinensprache, wie sie etwa von einem Compiler erzeugt wird, und Dateien, die höheren Code enthalten, der von dem Computer unter Verwendung eines Interpreters ausgeführt werden kann.
  • In bestimmten Ausführungsformen beinhaltet ein System zur Inspektion einer Photomaske zumindest einen Speicher und zumindest einen Prozessor, die dazu ausgebildet sind, hierin beschriebene Techniken durchzuführen. Ein Beispiel eines Inspektionssystems beinhaltet ein speziell ausgebildetes TeraScan™-DUV-Inspektionssystem, erhältlich von KLA-Tencor aus Milpitas, Kalifornien.
  • Wenn auch die vorstehende Erfindung aus Gründen des klaren Verständnisses in einiger Ausführlichkeit beschrieben worden ist, so ist offensichtlich, dass bestimmte Änderungen und Modifikationen innerhalb des Umfangs der angehängten Ansprüche vorgenommen werden können. Es sollte zur Kenntnis genommen werden, dass es viele alternative Arten zur Implementierung der Prozesse, Systeme und Vorrichtung der vorliegenden Erfindung gibt. Demgemäß sind die vorliegenden Ausführungsformen als erläuternd und nicht als einschränkend aufzufassen, und die Erfindung soll nicht auf die hierin angegebenen Details beschränkt werden.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 62/214472 [0001]
    • US 9335206 [0032]
  • Zitierte Nicht-Patentliteratur
    • Qiang Zhang et al., welches hierin durch Verweis zur Gänze für alle Zwecke aufgenommen wird [0032]

Claims (23)

  1. Verfahren zur Messung eines Bias einer kritischen Dimension auf einem Retikel, wobei das Verfahren umfasst: Inspizieren des Retikels mit einem Abbildungssystem, um ein gemessenes Bild einer Struktur auf dem Retikel zu erhalten, wobei die Struktur einen unbekannten Wert der kritischen Dimension hat; Erzeugen eines berechneten Bildes unter Verwendung eines Modells und einer Design-Datenbank, welche ein Muster beschreibt, das verwendet wurde, um die Struktur auf dem Retikel zu bilden, für welche das gemessene Bild erhalten wurde, wobei das Modell das berechnete Bild auf Grundlage optischer Eigenschaften von Retikel-Materialien, die der Struktur entsprechen, eines Computermodells des Abbildungssystems und einer anpassbaren kritischen Dimension erzeugt; Minimieren einer Norm einer Differenz zwischen dem gemessenen und dem berechneten Bild durch Anpassen der anpassbaren kritischen Dimension und iterativer Wiederholung des Vorgangs der Erzeugung eines berechneten Bildes, so dass sich eine letztendliche kritische Dimension gemäß Modell ergibt, wobei das Minimieren der Norm der Differenz gleichzeitig durchgeführt wird hinsichtlich der anpassbaren kritischen Dimension und hinsichtlich eines oder mehrerer unbestimmter Parameter des Abbildungssystems; und Definieren des unbekannten Werts der kritischen Dimension als die letzte kritische Dimension, die sich in einer Minimierung der Norm der Differenz ergeben hat.
  2. Verfahren nach Anspruch 1, wobei das gemessene Bild eine Vielzahl an Intensitätswerten an einer Vielzahl an x-y-Positionen umfasst, die einer gleichen Vielzahl an x-y-Positionen des berechneten Bildes entsprechen.
  3. Verfahren nach Anspruch 2, wobei das gemessene Bild eine Vielzahl von gemessenen Bildern der Struktur umfasst, die für eine Vielzahl an Ansichten für unterschiedliche Betriebsparameter der Inspektionsmaschine erhalten wurden, und wobei das berechnete Bild so erzeugt wird, dass es eine Vielzahl berechneter Bilder für die Struktur für die Vielzahl der Ansichten umfasst.
  4. Verfahren nach Anspruch 3, wobei die Ansichten die Detektion von reflektiertem und transmittiertem Licht umfassen.
  5. Verfahren nach Anspruch 4, wobei die Ansichten unterschiedliche Einstellungen für einen oder mehrere der folgenden Parameter umfassen: Fokus-Offset, Verteilung der Beleuchtung in der Pupille, Polarisationszustand der Beleuchtung, numerische Apertur der Erfassungsoptik, Form einer Apertur, Einstellung eines Pupillenfilters oder Einstellung eines Analysators.
  6. Verfahren nach Anspruch 1, wobei das Computermodell des Abbildungssystems Aberrationscharakteristiken beinhaltet, die an dem Abbildungssystem gemessen wurden.
  7. Verfahren nach Anspruch 1, wobei die Norm der Differenz, die minimiert wird, min C D , p v x y ( I c a l c ( x , y , v , C D , p ) I m e a s ( x , y , v ) ) 2 w ( x , y , v )
    Figure DE112016004012T5_0005
    lautet, wobei: Icalc(x, y, v, CD, p) eine Vielzahl an Intensitätswerten des berechneten Bildes in Abhängigkeit von x-y-Position, Ansicht v, kritischer Dimension CD und einem oder mehreren unbekannten Abbildungssystemparametern p ist; Imeas(x, y, v) eine Vielzahl von Intensitätswerten des gemessenen Bildes in Abhängigkeit von x-y-Position und Ansicht v ist; und w(x, y, v) eine Vielzahl an Gewichten in Abhängigkeit von x-y-Position und Ansicht v ist.
  8. Verfahren nach Anspruch 1, wobei die Norm der Differenz zwischen dem gemessenen Bild und dem berechneten Bild eine Summe von Quadraten von Differenzen von Pixelwerten des gemessenen und des berechneten Bildes ist.
  9. Verfahren nach Anspruch 1, wobei die Norm der Differenz zwischen dem gemessenen Bild und dem berechneten Bild eine Summe von Absolutwerten von Differenzen von Pixelwerten des gemessenen und des berechneten Bildes ist.
  10. Verfahren nach Anspruch 1, wobei der eine oder die mehreren unbestimmten Parameter des Systems einen oder mehrere der folgenden beinhalten: Fokus und Beleuchtungsintensität.
  11. Verfahren nach Anspruch 1, wobei das gemessene Bild und das berechnete Bild jeweils einen Satz an Bildern umfassen, der bei mehreren Ansichten erfasst wurde, wobei sich zwei Ansichten durch zumindest einen oder mehrere Abbildungsparameter unterscheiden, die einen Reflektions- oder einen Transmissionsmodus, eine Verteilung in der Beleuchtungspupille, eine Beleuchtungspolarisation, eine numerische Apertur und Form der Erfassungspupille, eine Fokuseinstellung und eine Pupillenfilterphase und - amplitude beinhalten.
  12. Verfahren nach Anspruch 1, wobei die Norm der Differenz, die minimiert wird, min C D , p { v x y ( I c a l c ( x , y , v , C D , p ) I m e a s ( x , y , v ) ) 2 σ 2 ( x , y , v ) + ( p i E ( p i ) ) 2 σ 2 ( p i ) }
    Figure DE112016004012T5_0006
    lautet, wobei Icalc(x, y, v, CD, p) eine Vielzahl an Intensitätswerten des berechneten Bildes in Abhängigkeit von x-y-Position, Ansicht v, kritischer Dimension CD und einem oder mehreren unbekannten Abbildungssystemparametern p ist; Imeas(x, y, v) eine Vielzahl von Intensitätswerten des gemessenen Bildes in Abhängigkeit von x-y-Position und Ansicht v ist; σ(x, y, v) eine Unsicherheit in der Intensitätsmessung bei Pixel (x,y) in Ansicht v ist; pi ein i-ter unbekannter Parameter des Abbildungssystems ist; E(pi) der Erwartungswert von pi ist; und σ(pi) die Unsicherheit in pi ist.
  13. Verfahren nach Anspruch 1, ferner umfassend: für eine Vielzahl an Strukturen auf dem Retikel die Wiederholung der Vorgänge der Inspektion, Erzeugung eines berechneten Bildes, Minimierung einer Norm und Definieren der unbekannten kritischen Dimension für jede der Vielzahl der Strukturen, um eine Vielzahl letztendlicher kritischer Dimensionen für die Vielzahl der Strukturen auf dem Retikel zu erhalten, wodurch eine Karte der Gleichförmigkeit der kritischen Dimension (CDU, critical dimension uniformity) erzeugt wird; und Analysieren der CDU-Karte um zu bestimmen, ob das Retikel fehlerbehaftet ist und repariert oder verworfen werden muss, oder ob das Retikel zur Herstellung eines Halbleiterwafers verwendet werden soll.
  14. Ein Inspektionssystem zur Messung eines Bias einer kritischen Dimension auf einem Retikel, das System umfassend: Beleuchtungsoptik zur Erzeugung und Lenkung eines einfallenden Strahls zum Retikel; Ausgangsoptik zur Detektion von Bildern von dem Retikel in Antwort auf den einfallenden Strahl; und zumindest einen Speicher und zumindest einen Prozessor, die dazu ausgebildet sind, die folgenden Vorgänge einzuleiten: Inspizieren des Retikels mit dem Inspektionssystem, um ein gemessenes Bild einer Struktur auf dem Retikel zu erhalten, wobei die Struktur einen unbekannten Wert der kritischen Dimension hat; Erzeugen eines berechneten Bildes unter Verwendung eines Modells und einer Design-Datenbank, welche ein Muster beschreibt, das verwendet wurde, um die Struktur auf dem Retikel zu bilden, für welche das gemessene Bild erhalten wurde, wobei das Modell das berechnete Bild auf Grundlage optischer Eigenschaften von Retikel-Materialien, die der Struktur entsprechen, eines Computermodells des Abbildungssystems und einer anpassbaren kritischen Dimension erzeugt; Minimieren einer Norm einer Differenz zwischen dem gemessenen und dem berechneten Bild durch Anpassen der anpassbaren kritischen Dimension und iterativer Wiederholung des Vorgangs der Erzeugung eines berechneten Bildes, so dass sich eine letztendliche kritische Dimension gemäß Modell ergibt, wobei das Minimieren der Norm der Differenz gleichzeitig durchgeführt wird hinsichtlich der anpassbaren kritischen Dimension und hinsichtlich eines oder mehrerer unbestimmter Parameter des Abbildungssystems; und Definieren des unbekannten Werts der kritischen Dimension als die letzte kritische Dimension, die sich in einer Minimierung der Norm der Differenz ergeben hat.
  15. System nach Anspruch 14, wobei das gemessene Bild eine Vielzahl an Intensitätswerten an einer Vielzahl an x-y-Positionen umfasst, die einer gleichen Vielzahl an x-y-Positionen des berechneten Bildes entsprechen.
  16. System nach Anspruch 15, wobei das gemessene Bild eine Vielzahl von gemessenen Bildern der Struktur umfasst, die für eine Vielzahl an Ansichten für unterschiedliche Betriebsparameter der Inspektionsmaschine erhalten wurden, und wobei das berechnete Bild so erzeugt wird, dass es eine Vielzahl berechneter Bilder für die Struktur für die Vielzahl der Ansichten umfasst.
  17. System nach Anspruch 16, wobei die Ansichten zwei oder mehr der folgenden umfassen: Detektion von reflektiertem und transmittiertem Licht, unterschiedliche Einstellungen für einen oder mehrere der folgenden Parameter: Fokus-Offset, Verteilung der Beleuchtung in der Pupille, Polarisationszustand der Beleuchtung, numerische Apertur der Erfassungsoptik, Form einer Apertur, Einstellung eines Pupillenfilters oder Einstellung eines Analysators.
  18. System nach Anspruch 14, wobei das Computermodell des Abbildungssystems Aberrationscharakteristiken beinhaltet, die an dem Abbildungssystem gemessen wurden.
  19. System nach Anspruch 14, wobei die Norm der Differenz zwischen dem gemessenen Bild und dem berechneten Bild eine Summe von Quadraten von Differenzen von Pixelwerten des gemessenen und des berechneten Bildes ist.
  20. System nach Anspruch 14, wobei der eine oder die mehreren unbestimmten Parameter des Systems einen oder mehrere der folgenden beinhalten: Fokus und Beleuchtungsintensität.
  21. System nach Anspruch 14, wobei das gemessene Bild und das berechnete Bild jeweils einen Satz an Bildern umfassen, der bei mehreren Ansichten erfasst wurde, wobei sich zwei Ansichten durch zumindest einen oder mehrere Abbildungsparameter unterscheiden, die einen Reflektions- oder einen Transmissionsmodus, eine Verteilung in der Beleuchtungspupille, eine Beleuchtungspolarisation, eine numerische Apertur und Form der Erfassungspupille, eine Fokuseinstellung und eine Pupillenfilterphase und - amplitude beinhalten.
  22. System nach Anspruch 14, ferner umfassend: für eine Vielzahl an Strukturen auf dem Retikel die Wiederholung der Vorgänge der Inspektion, Erzeugung eines berechneten Bildes, Minimierung einer Norm und Definieren der unbekannten kritischen Dimension für jede der Vielzahl der Strukturen, um eine Vielzahl letztendlicher kritischer Dimensionen für die Vielzahl der Strukturen auf dem Retikel zu erhalten, wodurch eine Karte der Gleichförmigkeit der kritischen Dimension (CDU, critical dimension uniformity) erzeugt wird; und Analysieren der CDU-Karte um zu bestimmen, ob das Retikel fehlerbehaftet ist und repariert oder verworfen werden muss, oder ob das Retikel zur Herstellung eines Halbleiterwafers verwendet werden soll.
  23. Computerlesbares Medium auf dem Instruktionen zur Durchführung der folgenden Vorgänge gespeichert sind: Inspizieren des Retikels mit einem Abbildungssystem, um ein gemessenes Bild einer Struktur auf dem Retikel zu erhalten, wobei die Struktur einen unbekannten Wert der kritischen Dimension hat; Erzeugen eines berechneten Bildes unter Verwendung eines Modells und einer Design-Datenbank, welche ein Muster beschreibt, das verwendet wurde um die Struktur auf dem Retikel zu bilden, für welche das gemessene Bild erhalten wurde, wobei das Modell das berechnete Bild auf Grundlage optischer Eigenschaften von Retikel-Materialien, die der Struktur entsprechen, eines Computermodells des Abbildungssystems und einer anpassbaren kritischen Dimension erzeugt; Minimieren einer Norm einer Differenz zwischen dem gemessenen und dem berechneten Bild durch Anpassen der anpassbaren kritischen Dimension und iterativer Wiederholung des Vorgangs der Erzeugung eines berechneten Bildes, so dass sich eine letztendliche kritische Dimension gemäß Modell ergibt, wobei das Minimieren der Norm der Differenz gleichzeitig durchgeführt wird hinsichtlich der anpassbaren kritischen Dimension und hinsichtlich eines oder mehrerer unbestimmter Parameter des Abbildungssystems; und Definieren des unbekannten Werts der kritischen Dimension als die letzte kritische Dimension, die sich in einer Minimierung der Norm der Differenz ergeben hat.
DE112016004012.1T 2015-09-04 2016-09-01 Techniken und systeme für modellbasierte messungen der kritischen dimension Granted DE112016004012T5 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562214472P 2015-09-04 2015-09-04
US62/214,472 2015-09-04
US15/250,649 2016-08-29
US15/250,649 US9875534B2 (en) 2015-09-04 2016-08-29 Techniques and systems for model-based critical dimension measurements
PCT/US2016/050000 WO2017040857A1 (en) 2015-09-04 2016-09-01 Techniques and systems for model-based critical dimension measurements

Publications (1)

Publication Number Publication Date
DE112016004012T5 true DE112016004012T5 (de) 2018-05-17

Family

ID=58188448

Family Applications (1)

Application Number Title Priority Date Filing Date
DE112016004012.1T Granted DE112016004012T5 (de) 2015-09-04 2016-09-01 Techniken und systeme für modellbasierte messungen der kritischen dimension

Country Status (7)

Country Link
US (1) US9875534B2 (de)
JP (3) JP2018527619A (de)
KR (1) KR102408321B1 (de)
CN (1) CN107924852B (de)
DE (1) DE112016004012T5 (de)
TW (1) TWI690006B (de)
WO (1) WO2017040857A1 (de)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9875534B2 (en) 2015-09-04 2018-01-23 Kla-Tencor Corporation Techniques and systems for model-based critical dimension measurements
US10395958B2 (en) * 2017-04-03 2019-08-27 Weiwei Xu Methods for inspection sampling on full patterned wafer using multiple scanning electron beam column array
US10810773B2 (en) * 2017-06-14 2020-10-20 Dell Products, L.P. Headset display control based upon a user's pupil state
US10983227B2 (en) * 2017-08-14 2021-04-20 Kla-Tencor Corporation On-device metrology using target decomposition
US20200033723A1 (en) * 2018-07-30 2020-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor Manufacturing Apparatus and Method Thereof
US11094053B2 (en) * 2018-10-08 2021-08-17 Kla Corporation Deep learning based adaptive regions of interest for critical dimension measurements of semiconductor substrates
KR20200052487A (ko) 2018-11-06 2020-05-15 삼성전자주식회사 반도체 소자의 제조 방법
US11018064B2 (en) * 2018-12-12 2021-05-25 Kla Corporation Multiple-tool parameter set configuration and misregistration measurement system and method
DE102019204575B3 (de) * 2019-04-01 2020-08-06 Carl Zeiss Smt Gmbh Verfahren, Vorrichtung und Computerprogramm zum Bestimmen einer Wellenfront eines massebehafteten Teilchenstrahls
DE102019215800A1 (de) * 2019-10-15 2021-04-15 Carl Zeiss Smt Gmbh Verfahren zur Bestimmung einer optischen Phasendifferenz von Messlicht einer Messlichtwellenlänge über eine Fläche eines strukturierten Objektes
CN110914965B (zh) 2019-10-18 2021-05-25 长江存储科技有限责任公司 用于以基于衍射的叠加量测为基础评估临界尺寸的系统和方法
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9335206B2 (en) 2012-08-30 2016-05-10 Kla-Tencor Corporation Wave front aberration metrology of optics of EUV mask inspection system

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000057458A1 (en) * 1999-03-24 2000-09-28 Fujitsu Limited Method of manufacturing semiconductor device and semiconductor device manufactured by it
FR2777894B1 (fr) * 1998-04-24 2001-06-22 Rhodia Chimie Sa Procede de preparation d'isocyanates polyfonctionnels tricondensats de faible viscosite
US6516085B1 (en) 1999-05-03 2003-02-04 Kla-Tencor Apparatus and methods for collecting global data during a reticle inspection
US6544699B1 (en) 2001-02-07 2003-04-08 Advanced Micro Devices, Inc. Method to improve accuracy of model-based optical proximity correction
US7065239B2 (en) * 2001-10-24 2006-06-20 Applied Materials, Inc. Automated repetitive array microstructure defect inspection
JP3732794B2 (ja) * 2002-03-20 2006-01-11 株式会社東芝 寸法検査方法及びその装置並びにマスクの製造方法
US7167185B1 (en) 2002-03-22 2007-01-23 Kla- Tencor Technologies Corporation Visualization of photomask databases
JP2003330163A (ja) * 2002-05-13 2003-11-19 Sony Corp フォトマスクの検査装置および検査方法
KR100503530B1 (ko) * 2003-01-02 2005-07-22 삼성전자주식회사 웨이퍼의 불량검출 장치 및 방법
US7646906B2 (en) 2004-01-29 2010-01-12 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting defects in reticle design data
JP2007520892A (ja) * 2004-02-03 2007-07-26 メンター・グラフィクス・コーポレーション イメージの忠実度およびスループットに対する光源の最適化
US7300725B2 (en) 2005-04-13 2007-11-27 Kla-Tencor Technologies Corporation Method for determining and correcting reticle variations
CN101258498B (zh) 2005-08-08 2011-04-13 Asml荷兰有限公司 用于形成光刻工艺的焦点曝光模型的系统和方法
US7625679B2 (en) * 2005-09-23 2009-12-01 Applied Materials, Inc. Method of aligning a particle-beam-generated pattern to a pattern on a pre-patterned substrate
DE102006004411B4 (de) * 2006-01-31 2017-11-09 Globalfoundries Inc. Verfahren und System für die Messdatenbewertung in der Halbleiterbearbeitung durch auf Korrelation basierende Datenfilterung
US7596420B2 (en) 2006-06-19 2009-09-29 Asml Netherlands B.V. Device manufacturing method and computer program product
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
US8279409B1 (en) 2009-08-05 2012-10-02 Cadence Design Systems, Inc. System and method for calibrating a lithography model
US9075934B2 (en) 2011-09-24 2015-07-07 Globalfoundries Inc. Reticle defect correction by second exposure
US10401305B2 (en) * 2012-02-15 2019-09-03 Kla-Tencor Corporation Time-varying intensity map generation for reticles
KR101958050B1 (ko) 2012-04-18 2019-07-04 케이엘에이-텐코 코포레이션 극자외선 레티클의 임계 치수 균일성 모니터링
DE102012207377A1 (de) * 2012-05-03 2013-11-07 Carl Zeiss Smt Gmbh Beleuchtungsoptik sowie optisches System für die EUV-Projektionslithographie
US8953869B2 (en) * 2012-06-14 2015-02-10 Kla-Tencor Corporation Apparatus and methods for inspecting extreme ultra violet reticles
US9311700B2 (en) 2012-09-24 2016-04-12 Kla-Tencor Corporation Model-based registration and critical dimension metrology
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
US9875534B2 (en) 2015-09-04 2018-01-23 Kla-Tencor Corporation Techniques and systems for model-based critical dimension measurements

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9335206B2 (en) 2012-08-30 2016-05-10 Kla-Tencor Corporation Wave front aberration metrology of optics of EUV mask inspection system

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Qiang Zhang et al., welches hierin durch Verweis zur Gänze für alle Zwecke aufgenommen wird

Also Published As

Publication number Publication date
JP2021103311A (ja) 2021-07-15
TWI690006B (zh) 2020-04-01
CN107924852A (zh) 2018-04-17
JP7422208B2 (ja) 2024-01-25
WO2017040857A1 (en) 2017-03-09
US9875534B2 (en) 2018-01-23
JP2018527619A (ja) 2018-09-20
CN107924852B (zh) 2020-04-03
US20170069080A1 (en) 2017-03-09
JP2023021158A (ja) 2023-02-09
KR102408321B1 (ko) 2022-06-10
TW201719783A (zh) 2017-06-01
KR20180038064A (ko) 2018-04-13

Similar Documents

Publication Publication Date Title
DE112016004012T5 (de) Techniken und systeme für modellbasierte messungen der kritischen dimension
JP7116757B2 (ja) ウエハレベル欠陥の転写性を予測する装置および方法
US11257207B2 (en) Inspection of reticles using machine learning
DE60314484T2 (de) Untersuchungsverfahren und Verfahren zur Herstellung einer Vorrichtung
DE102010030758B4 (de) Steuerung kritischer Abmessungen in optischen Abbildungsprozessen für die Halbleiterherstellung durch Extraktion von Abbildungsfehlern auf der Grundlage abbildungsanlagenspezifischer Intensitätsmessungen und Simulationen
DE69535692T2 (de) Verfahren und Vorrichtung zur automatisierten Prüfung von Photomasken
KR102485553B1 (ko) 임계 치수 균일도 강화 기술들 및 장치
DE60036443T2 (de) Verfahren und Vorrichtung zur Inspektion eines Retikels unter Verwendung von Luftbildern
TWI618976B (zh) 於微影製程中使圖案合格
DE102008048660B4 (de) Verfahren und Vorrichtung zur Vermessung von Strukturen auf Photolithographiemasken
DE102014217907B4 (de) Verfahren zum Herstellen einer Maske für den extrem ultra-violetten Wellenlängenbereich und Maske
US9778205B2 (en) Delta die and delta database inspection
DE112013004235T5 (de) Metrologie der Wellenfrontaberation von Optiken von EUV-Maskeninspektionssystemen
DE112017007551T5 (de) Vorrichtung und verfahren zur inspektion von retikeln
DE102015218917B4 (de) Verfahren zur Ermittlung einer Position eines Strukturelements auf einer Maske und Mikroskop zur Durchführung des Verfahrens
DE102016218977A1 (de) Verfahren und Vorrichtung zur Ermittlung eines OPC-Modells
DE102007052052A1 (de) Verfahren zum Erkennen von Wiederholungsdefekten in Lithographiemasken auf der Grundlage von Testsubstraten, die unter veränderlichen Bedingungen belichtet werden
DE10337286B4 (de) Verfahren zur Projektion eines auf einer Maske angeordneten Schaltungsmusters auf einen Halbleiterwafer
DE10258371B4 (de) Verfahren zur Inspektion von periodischen Gitterstrukturen auf Lithographiemasken
DE102007047924B4 (de) Verfahren zur automatischen Detektion von Fehlmessungen mittels Qualitätsfaktoren
DE10360536B4 (de) Verfahren zur Inspektion von Masken eines Maskensatzes für eine Mehrfachbelichtung
DE102022120297A1 (de) Maskeninspektion für die herstellung von halbleiter-proben
DE102007032958A1 (de) Verfahren zur Ermittlung lithographisch relevanter Maskendefekte
DE112021004238T5 (de) Verfahren und vorrichtung zum identifizieren von verunreinigungen in einer halbleiterfabrik
KR20230059132A (ko) 반도체 시편 제조를 위한 마스크 검사

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R018 Grant decision by examination section/examining division