DE102023107823A1 - Abstandshalterfolienschema für polarisationsverbesserung - Google Patents

Abstandshalterfolienschema für polarisationsverbesserung Download PDF

Info

Publication number
DE102023107823A1
DE102023107823A1 DE102023107823.2A DE102023107823A DE102023107823A1 DE 102023107823 A1 DE102023107823 A1 DE 102023107823A1 DE 102023107823 A DE102023107823 A DE 102023107823A DE 102023107823 A1 DE102023107823 A1 DE 102023107823A1
Authority
DE
Germany
Prior art keywords
data storage
orthorhombic phase
ferroelectric
sidewall spacers
phase concentration
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102023107823.2A
Other languages
English (en)
Inventor
Tzu-Yu Lin
Yao-Wen Chang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102023107823A1 publication Critical patent/DE102023107823A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B9/00Recording or reproducing using a method not covered by one of the main groups G11B3/00 - G11B7/00; Record carriers therefor
    • G11B9/02Recording or reproducing using a method not covered by one of the main groups G11B3/00 - G11B7/00; Record carriers therefor using ferroelectric record carriers; Record carriers therefor
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/221Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements using ferroelectric capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region

Abstract

Die vorliegende Offenbarung betrifft einen integrierten Chip. Der integrierte Chip umfasst eine untere Elektrode, die innerhalb einer dielektrischen Struktur über einem Substrat angeordnet ist. Über der unteren Elektrode ist eine ferroelektrische Datenspeicherstruktur angeordnet und über der ferroelektrischen Datenspeicherstruktur ist eine obere Elektrode angeordnet. Auf gegenüberliegenden Seiten der oberen Elektrode ist bzw. sind ein oder mehrere belastete Seitenwandabstandshalter angeordnet. Die ferroelektrische Datenspeicherstruktur weist eine orthorhombische Phasenkonzentration auf, die von direkt unter dem einen oder den mehreren belastete(n) Seitenwandabstandshalter(n) nach seitlich außerhalb des einen oder der mehreren belastete(n) Seitenwandabstandshalter(n) variiert.

Description

  • VERWEISE AUF VERWANDTE ANMELDUNGEN
  • Diese Anmeldung beansprucht die Priorität der am 29. Juni 2022 eingereichten vorläufigen US-Anmeldung 63/393,347 und der am 4. Oktober 2022 eingereichten vorläufigen US-Anmeldung 63/412,969 . Die Inhalte der oben genannten Patentanmeldungen werden hiermit durch Bezugnahme in ihrer Gesamtheit hierin mit aufgenommen.
  • HINTERGRUND
  • Viele moderne Elektronikvorrichtungen enthalten elektronische Speicher, die dafür ausgelegt sind, Daten zu speichern. Ein elektronischer Speicher kann ein flüchtiger Speicher oder ein nichtflüchtiger Speicher sein. Flüchtige Speicher speichern Daten, wenn sie mit Strom versorgt werden, während nichtflüchtige Speicher dazu in der Lage sind, Daten zu speichern, wenn die Stromversorgung unterbrochen wird. Ferroelektrische Direktzugriffsspeichervorrichtungen (FeRAM-Vorrichtungen) sind ein vielversprechender Kandidat für eine nichtflüchtige Speichertechnologie der nächsten Generation. Der Grund dafür ist, dass FeRAM-Vorrichtungen viele Vorteile bieten, darunter eine schnelle Schreibzeit, eine hohe Lebensdauer, einen geringen Stromverbrauch und eine geringe Anfälligkeit für Strahlungsschäden.
  • KURZBESCHREIBUNG DER ZEICHNUNGEN
  • Aspekte der vorliegenden Offenbarung lassen sich am besten aus der nachfolgenden ausführlichen Beschreibung verstehen, wenn sie mit den beigefügten Figuren gelesen wird. Es wird darauf hingewiesen, dass, in Übereinstimmung mit den Standardpraktiken in der Branche, diverse Merkmale nicht maßstabsgetreu gezeichnet sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 veranschaulicht eine Querschnittsansicht einiger Ausführungsformen eines integrierten Chips (IC) mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält, die dafür ausgelegt sind, eine orthorhombische Phasenkonzentration innerhalb einer ferroelektrischen Datenspeicherstruktur zu beeinflussen.
    • 2 veranschaulicht ein Diagramm, das einige Ausführungsformen einer orthorhombischen Phasenkonzentration innerhalb eines zentralen Bereichs und innerhalb eines oder mehrerer Peripheriebereich(e) einer offenbarten ferroelektrischen Datenspeicherstruktur zeigt.
    • 3 veranschaulicht einige Ausführungsformen einer ferroelektrischen Speichervorrichtung mit einem oder mehreren belastete(n) Seitenwandabstandshalter(n) und einer entsprechenden Kristallphasenabbildung einer ferroelektrischen Datenspeicherstruktur innerhalb der ferroelektrischen Speichervorrichtung.
    • 4 veranschaulicht einige Ausführungsformen eines Kapazitäts-Spannungs-Diagrammen für eine offenbarte ferroelektrische Speichervorrichtung mit einem oder mehreren belastete(n) Seitenwandabstandshalter(n).
    • 5 veranschaulicht eine Querschnittsansicht einiger zusätzlicher Ausführungsformen einer IC mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält.
    • 6A-6B veranschaulichen einige zusätzliche Ausführungsformen einer IC mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält.
    • 7 veranschaulicht eine Querschnittsansicht einiger zusätzlicher Ausführungsformen einer IC mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält.
    • 8 veranschaulicht eine Querschnittsansicht einiger zusätzlicher Ausführungsformen einer IC mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält.
    • 9 veranschaulicht eine Querschnittsansicht einiger zusätzlicher Ausführungsformen einer IC mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält.
    • 10 veranschaulicht eine Querschnittsansicht einiger zusätzlicher Ausführungsformen einer IC mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält.
    • 11-22 veranschaulichen einige Ausführungsformen eines Verfahrens zum Bilden einer IC mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält, die dafür ausgelegt sind, eine orthorhombische Phasenkonzentration innerhalb einer ferroelektrischen Datenspeicherstruktur zu beeinflussen.
    • 23-33 veranschaulichen Querschnittsansichten einiger zusätzlicher Ausführungsformen eines Verfahrens zum Bilden einer IC mit einer ferroelektrischen Speichervorrichtung mit einem oder mehreren belastete(n) Seitenwandabstandshalter(n).
    • 34 veranschaulicht ein Flussdiagramm einiger Ausführungsformen eines Verfahrens zum Bilden eines IC mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält, der bzw. die dafür ausgelegt ist bzw. sind, eine orthorhombische Phasenkonzentration innerhalb einer ferroelektrischen Datenspeicherstruktur zu beeinflussen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele unterschiedliche Ausführungsformen bzw. Beispiele für die Implementierung unterschiedlicher Merkmale des vorgestellten Gegenstandes bereit. Nachfolgend werden konkrete Beispiele der Komponenten und Anordnungen beschrieben, um die vorliegende Offenbarung zu vereinfachen. Dies sind natürlich lediglich Beispiele und sie sind nicht als einschränkend beabsichtigt. Die Bildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung kann zum Beispiel Ausführungsformen beinhalten, in denen das erste und zweite Merkmal in direktem Kontakt ausgebildet sind, und können auch Ausführungsformen beinhalten, in denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet sind, so dass das erste und das zweite Merkmal möglicherweise nicht in direktem Kontakt stehen. Darüber hinaus kann die vorliegende Offenbarung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholen. Diese Wiederholung dient dem Zweck der Vereinfachung und Klarheit und diktiert nicht an sich eine Beziehung zwischen den verschiedenen diskutierten Ausführungsformen und/oder Konfigurationen.
  • Ferner können hierin räumlich relative Begriffe, wie etwa „darunter“, „unter“, „tieferer“, „über“, „oberer“ und dergleichen, zur Vereinfachung der Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en), wie in den Figuren veranschaulicht, zu beschreiben. Es ist vorgesehen, dass die räumlich relativen Begriffe unterschiedliche Orientierungen der Vorrichtung im Gebrauch oder im Betrieb zusätzlich zu der in den Figuren gezeigten Orientierung mit einschließen. Die Vorrichtung kann auch anderweitig orientiert sein (um 90 Grad gedreht oder andere Orientierungen) und die hierin verwendeten räumlich relativen Deskriptoren können ebenfalls entsprechend interpretiert werden.
  • Ferroelektrische Direktzugriffsspeichervorrichtungen (FeRAM-Vorrichtungen) haben eine erste Elektrode, die von einer zweiten Elektrode durch eine ferroelektrische Datenspeicherstruktur getrennt ist, die ein ferroelektrisches Material umfasst. Das ferroelektrische Material verfügt über einen intrinsischen elektrischen Dipol, der durch Anlegen eines externen elektrischen Feldes zwischen entgegengesetzten Polaritäten geschaltet werden kann. Die unterschiedlichen Polaritäten geben der FeRAM-Vorrichtung unterschiedliche Kapazitäten, die während eines Lesevorgangs durch eine Spannung auf einer Bitleitung erfasst werden können. Die unterschiedlichen Kapazitäten sind repräsentativ für verschiedene Datenzustände (z.B. eine logische „0“ oder „1“), wodurch es der FeRAM-Vorrichtung ermöglicht wird, Daten digital zu speichern.
  • Es wurde festgestellt, dass manche ferroelektrischen Materialien (z.B. Hafnium-Zirkonium-Oxid), die in einer ferroelektrischen Datenspeicherstruktur verwendet werden, derart gefertigt werden können, dass sie aufgrund des Einflusses einer darunter liegenden unteren Elektrode mehrere unterschiedliche Kristallphasen (z.B. monokline, tetragonale und/oder orthorhombische Phasen) aufweisen. Es wurde weiterhin festgestellt, dass ferroelektrische Speichervorrichtungen mit einem ferroelektrischen Datenspeicherstrukturmaterial mit einer hohen orthorhombischen Phasenkonzentration große Speicherfenster aufweisen (z.B. eine Spannungsdifferenz auf einer Bitleitung zwischen einem niedrigen Datenzustand, der einer logischen „0“ entspricht, und einem hohen Datenzustand, der einer logischen „1“ entspricht). Eine ferroelektrische Speichervorrichtung mit einem ferroelektrischen Material mit 78% monokliner Phase, 17% orthorhombischer Phase und 5% tetragonaler Phase kann zum Beispiel ein Speicherfenster von 0,2 Volt (V) aufweisen, während eine ferroelektrische Speichervorrichtung mit einem ferroelektrischen Material mit 16% monokliner Phase, 62% orthorhombischer Phase und 22% tetragonaler Phase ein Speicherfenster von 0,7V aufweisen kann. Eine ferroelektrische Speichervorrichtung mit einem ferroelektrischen Material mit einer niedrigen orthorhombischen Phase kann daher ein relativ kleines Speicherfenster aufweisen, was es schwer macht, zwischen unterschiedlichen Datenzuständen während eines Lesevorgangs zu differenzieren.
  • Die vorliegende Offenbarung betrifft in manchen Ausführungsformen einen integrierten Chip mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter umfasst, die dafür ausgelegt sind, eine orthorhombische Phasenkonzentration innerhalb von Teilen einer ferroelektrischen Datenspeicherstruktur zu erhöhen. Der integrierte Chip umfasst eine ferroelektrische Datenspeicherstruktur, die zwischen einer unteren Elektrode und einer oberen Elektrode angeordnet ist. Ein oder mehrere belastete(r) Seitenwandabstandshalter sind auf gegenüberliegenden Seiten der oberen Elektrode angeordnet und über einem oder mehreren Peripheriebereich(en) der ferroelektrischen Datenspeicherstruktur. Der eine oder die mehreren belastete(n) Seitenwandabstandshalter sind dafür ausgelegt, eine orthorhombische Phasenkonzentration in einem oder mehreren Peripheriebereich(en) der ferroelektrischen Datenspeicherstruktur während der Herstellung der ferroelektrischen Speichervorrichtung zu erhöhen. Die erhöhte orthorhombische Phasenkonzentration innerhalb des einen oder der mehreren Bereiche der ferroelektrischen Datenspeicherstruktur kann eine Speicherfenstergröße (z.B. eine Lesefenstergröße) der ferroelektrischen Speichervorrichtung vergrößern, wodurch die Leistung des integrierten Chips verbessert wird.
  • 1 veranschaulicht eine Querschnittsansicht einiger Ausführungsformen eines integrierten Chips 100 mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält, der bzw. die dafür ausgelegt ist bzw. sind, eine orthorhombische Phasenkonzentration innerhalb einer ferroelektrischen Datenspeicherstruktur zu beeinflussen.
  • Der integrierte Chip 100 umfasst eine ferroelektrische Speichervorrichtung 104, die innerhalb einer dielektrischen Struktur 106 (z.B. eine Inter-Level-Dielektrikum-Struktur (ILD-Struktur)) über einem Substrat 102 angeordnet ist. Die ferroelektrische Speichervorrichtung 104 umfasst eine ferroelektrische Datenspeicherstruktur 110, die zwischen einer unteren Elektrode 108 und einer oberen Elektrode 112 angeordnet ist. Die ferroelektrische Datenspeicherstruktur 110 ist dafür ausgelegt, die Polarisation auf Grundlage einer oder mehrerer Spannungen, die an die untere Elektrode 108 und/oder die obere Elektrode 112 angelegt wird bzw. werden, zu ändern. Eine obere Zwischenverbindungsstruktur 114 erstreckt sich durch die dielektrische Struktur 106, um die obere Elektrode 112 zu kontaktieren.
  • Über der ferroelektrischen Datenspeicherstruktur 110 und entlang gegenüberliegender Seiten der oberen Elektrode 112 ist bzw. sind ein oder mehrere belastete(n) Seitenwandabstandshalter 116 angeordnet. In manchen Ausführungsformen können der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 eine obere Fläche der ferroelektrischen Datenspeicherstruktur 110 physisch kontaktieren. In verschiedenen Ausführungsformen können der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 eine Belastung aufweisen, die eine Zugspannung oder eine Druckspannung umfasst. In manchen Ausführungsformen kann die Belastung in einem Bereich von zwischen etwa 50 MPa (Megapascal) und etwa 1200 MPa, zwischen etwa 100 MPa und etwa 1000 MPa, zwischen etwa 200 MPa und etwa 600 MPa, zwischen etwa 400 MPa und etwa 500 MPa oder bei anderen ähnlichen Werten liegen.
  • Die ferroelektrische Datenspeicherstruktur 110 umfasst einen zentralen Bereich 118, der direkt unter der oberen Elektrode 112 angeordnet ist, und einen oder mehrere Peripheriebereich(e) 120, der oder die direkt unter dem einen oder den mehreren belastete(n) Seitenwandabstandshalter(n) 116 angeordnet ist bzw. sind. Der eine oder die mehreren Peripheriebereich(e) 120 umgeben den zentralen Bereich 118 seitlich. Während der Fertigung der ferroelektrischen Speichervorrichtung 104 sind der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 dafür ausgelegt, eine Kristallphase (d.h. eine Kristallstruktur) innerhalb des einen oder der mehreren Peripheriebereich(e) 120 der ferroelektrischen Datenspeicherstruktur 110 zu beeinflussen. Nach Bilden des einen oder der mehreren belastete(n) Seitenwandabstandshalter 116 auf der ferroelektrischen Datenspeicherstruktur 110, kann ein Temperprozess durchgeführt werden. Aufgrund der Belastung des einen oder der mehreren belastete(n) Seitenwandabstandshalter 116 bewirkt der Temperprozess, dass nicht-orthorhombische Phasen (d.h. nicht-orthorhombische Kristallphasen) innerhalb des einen oder der mehreren Peripheriebereich(e) 120 der ferroelektrischen Datenspeicherstruktur 110 zu einer orthorhombischen Phase umgewandelt werden (z.B. eine polare orthorhombische Phase, eine polare orthorhombische Phase mit der RaumgruppePca21 oder dergleichen).
  • Infolgedessen, dass der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 eine orthorhombische Phasenkonzentration innerhalb des einen oder der mehreren Peripheriebereich(e) 120 erhöht bzw. erhöhen, weist der zentrale Bereiche 118 der ferroelektrischen Datenspeicherstruktur 110 eine erste orthorhombische Phasenkonzentration (z.B. eine erste polare orthorhombische Phasenkonzentration) und der eine oder die mehreren Peripheriebereich(e) 120 eine zweite orthorhombische Phasenkonzentration (z.B. eine zweite polare orthorhombische Phasenkonzentration), die größer als die erste orthorhombische Phasenkonzentration ist, auf. Die erhöhte orthorhombische Phasenkonzentration innerhalb des einen oder der mehreren Peripheriebereich(e) 120 bewirkt, dass die gesamte orthorhombische Phasenkonzentration der ferroelektrischen Datenspeicherstruktur 110 relativ hoch ist. Die relativ hohe orthorhombische Phasenkonzentration der ferroelektrischen Datenspeicherstruktur 110 vergrößert eine Speicherfenstergröße (z.B. eine Lesefenstergröße) der ferroelektrischen Speichervorrichtung 104, wodurch die Leistung des integrierten Chips 100 verbessert wird.
  • 2 veranschaulicht ein Diagramm 200, das einige Ausführungsformen einer orthorhombischen Phasenkonzentration innerhalb einer ferroelektrischen Datenspeicherstruktur zeigt. Wie in Diagramm 200 gezeigt, wird eine Position innerhalb der ferroelektrischen Datenspeicherstruktur entlang einer X-Achse veranschaulicht und es wird eine orthorhombische Phasenkonzentration entlang einer Y-Achse gezeigt.
  • Die ferroelektrische Datenspeicherstruktur umfasst einen zentralen Bereich 118 direkt unter einer oberen Elektrode und einen oder mehrere Peripheriebereich(e) 120 direkt unter einem oder mehreren belastete(n) Seitenwandabstandshalter(n). Der eine oder die mehreren Peripheriebereich(e) 120 umgeben den zentralen Bereich 118 seitlich. Eine orthorhombische Phasenkonzentration 202 (z.B. ein prozentualer Anteil der orthorhombischen Phase, ein prozentualer Anteil der polaren orthorhombischen Phase usw.) variiert zwischen dem zentralen Bereich 118 und dem einen oder den mehreren Peripheriebereich(en) 120. In manchen Ausführungsformen kann die orthorhombische Phasenkonzentration 202 auch innerhalb des zentralen Bereichs 118 und dem einen oder den mehreren Peripheriebereich(en) 120 variieren.
  • In manchen Ausführungsformen ist die orthorhombische Phasenkonzentration 202 innerhalb des einen oder der mehreren Peripheriebereich(e) 120 größer als in dem zentralen Bereich 118. In manchen Ausführungsformen weist die orthorhombische Phasenkonzentration 202 innerhalb des zentralen Bereichs 118 eine maximale orthorhombische Phasenkonzentration von mehr als etwa 40%, mehr als etwa 45% oder andere ähnliche Werte auf. In manchen Ausführungsformen weist die orthorhombische Phasenkonzentration 202 innerhalb des einen oder der mehreren Peripheriebereich(e) 120 eine maximale orthorhombische Phasenkonzentration 202 von mehr als etwa 70%, von mehr als etwa 75% oder andere ähnliche Werte auf. In manchen Ausführungsformen ist eine maximale orthorhombische Phasenkonzentration innerhalb des einen oder der mehreren Peripheriebereich(e) 120 mehr als 30% größer als eine maximale orthorhombische Phasenkonzentration innerhalb des zentralen Bereichs 118. In manchen Ausführungsformen kann die orthorhombischen Phasenkonzentration durch Präzisions-Elektronendiffusionsanalyse (PED-Analyse) messen.
  • In manchen Ausführungsformen können der eine oder die mehreren belastete(n) Seitenwandabstandshalter die Uniformität der orthorhombischen Phasenkonzentration zwischen dem zentralen Bereich 118 und dem einen oder den mehreren Peripheriebereich(en) 120 im Vergleich zu einer ferroelektrischen Speichervorrichtung mit nicht belastete(n) Seitenwandabstandshaltern verbessern. Der eine oder die mehreren Seitenwandabstandshalter können beispielsweise bewirken, dass die orthorhombische Phasenkonzentration um etwa 30% oder weniger zwischen dem zentralen Bereich 118 und dem einen oder den mehreren Peripheriebereich(en) 120 variiert. Die Verbesserung der Uniformität der orthorhombischen Phasenkonzentration zwischen dem zentralen Bereich 118 und dem einen oder den mehreren Peripheriebereich(en) 120 verbessert ferner die Leistung einer offenbarten ferroelektrischen Speichervorrichtung. Darüber hinaus kann die Verbesserung der Uniformität der orthorhombischen Phasenkonzentration zwischen dem zentralen Bereich 118 und dem einen oder den mehreren Peripheriebereich(en) 120 Vorrichtung-zu-Vorrichtung-Schwankungen über eine Speicheranordnung verringern und dadurch die Zuverlässigkeit von Lesevorgängen in der Speichervorrichtung verbessern.
  • 3 veranschaulicht eine Querschnittsansicht einiger Ausführungsformen eines integrierten Chips 300, der eine ferroelektrische Speichervorrichtung 104 mit einem oder mehreren belastete(n) Seitenwandabstandshalter(n) und eine beispielhafte entsprechende Kristallphasenabbildung 302 einer ferroelektrischen Datenspeicherstruktur innerhalb der ferroelektrischen Speichervorrichtung 104 umfasst.
  • Die ferroelektrische Speichervorrichtung 104 umfasst eine ferroelektrische Datenspeicherstruktur 110, die zwischen einer unteren Elektrode 108 und einer oberen Elektrode 112 angeordnet ist. Auf gegenüberliegenden Seiten der oberen Elektrode 112 ist bzw. sind ein oder mehrere belastete(r) Seitenwandabstandshalter 116 angeordnet. Die ferroelektrische Datenspeicherstruktur 110 umfasst einen zentralen Bereich 118 und einen oder mehrere Peripheriebereich(e) 120, die den zentralen Bereich 118 seitlich umgeben.
  • Wie in der Kristallphasenabbildung 302 gezeigt, umfassen sowohl der zentrale Bereich 118 als auch der eine oder die mehreren Peripheriebereich(e) 120 der ferroelektrischen Datenspeicherstruktur mehrere Segmente mit unterschiedlichen Kristallphasen. Die ferroelektrische Datenspeicherstruktur kann beispielsweise Segmente mit einer monoklinen Phase 304, einer tetragonalen Phase 306, einer polaren orthorhombischen Phase (P29) 308 und einer nicht-polaren orthorhombischen Phase (P61) 310 umfassen. In manchen Ausführungsformen weisen der eine oder die mehreren Peripheriebereich(e) 120 eine polare orthorhombische Phasenkonzentration auf, die höher ist als eine nicht-polare orthorhombische Phase.
  • In manchen Ausführungsformen kann der zentrale Bereich 118 eine polare orthorhombischen Phasenkonzentration (P29) aufweisen, die zwischen etwa 40% und etwa 50% liegt, die etwa 45% beträgt, oder andere ähnliche Werte. In manchen Ausführungsformen kann der eine oder können die mehreren Peripheriebereich(e) 120 eine polare orthorhombische Kristallinphasenkonzentration (P29) aufweisen, die zwischen etwa 70% und etwa 80% liegt, d.h. etwa 77%, oder andere ähnliche Werte. In manchen Ausführungsformen kann sich eine imaginäre, vertikal verlaufende Linie durch Segmente der ferroelektrischen Datenspeicherstruktur mit zwei oder mehr unterschiedlichen Kristallphasen erstrecken. In manchen zusätzlichen Ausführungsformen kann sich eine imaginäre, horizontal verlaufende Linie durch Segmente der ferroelektrischen Datenspeicherstruktur mit zwei oder mehr unterschiedlichen Kristallphasen erstrecken.
  • 4 veranschaulicht einige Ausführungsformen eines Diagramms 400, das Kapazität/Spannung für eine offenbarte ferroelektrische Speichervorrichtung mit einem oder mehreren belasteten Seitenwandabstandshalter(n) zeigt.
  • Wie in Diagramm 400 gezeigt, wird eine Spannung, die an eine offenbarte ferroelektrische Speichervorrichtung angelegt ist, entlang einer X-Achse veranschaulicht und eine entsprechende Kapazität, die der ferroelektrischen Speichervorrichtung zugeordnet ist, wird entlang einer Y-Achse gezeigt. Die der ferroelektrischen Speichervorrichtung zugewiesene Kapazität folgt bei sich ändernder Spannung einer Hysteresekurve 402. Ein erster Datenzustand (z.B. eine logische „o“) lässt sich einem ersten Kapazitätswert 404 zuordnen, der an einer ersten Position innerhalb der Hysteresekurve 402 gemessen wird, und ein zweiter Datenzustand (z.B. eine logische „1“) lässt sich einem zweiten Kapazitätswert 406 zuordnen, der an einer zweiten Position innerhalb der Hysteresekurve 402 gemessen wird.
  • Ein Lesefenster 408 ist eine Differenz zwischen dem ersten Kapazitätswert 404 und dem zweiten Kapazitätswert 406. In manchen Ausführungsformen kann das Lesefenster 408 der offenbarten ferroelektrischen Speichervorrichtung größer als etwa 0,5 fF/um2 (Femofarad/Mikron2) sein, größer oder gleich etwa 0,55 fF/um2, etwa 0,58 fF/um2 oder andere ähnliche Werte aufweisen. In manchen Ausführungsformen kann die offenbarte ferroelektrische Speichervorrichtung ein Lesefenster (z.B. 408) aufweisen, das mehr als 20% größer ist als das einer ferroelektrischen Speichervorrichtung, die nicht über den einen oder die mehreren belastete(n) Seitenwandabstandshalter verfügt. In manchen zusätzlichen Ausführungsformen kann die offenbarte ferroelektrische Speichervorrichtung ein Lesefenster (z.B. 408) aufweisen, das mehr als 50% größer ist als das einer ferroelektrischen Speichervorrichtung, die nicht über den einen oder die mehreren belastete(n) Abstandshalter verfügt.
  • Es wurde weiterhin festgestellt, dass, zusätzlich zu der Verbesserung eines Lesefensters einer offenbarten ferroelektrischen Speichervorrichtung, der eine oder die mehreren belastete(n) Seitenwandabstandshalter auch einen zyklusbedingten Ausfall mindern kann. Ein Anstieg des Leckstroms der offenbarten ferroelektrischen Speichervorrichtung ist für eine gegebene Anzahl von Zyklen (z.B. Lese- und/oder Schreibvorgänge) beispielsweise kleiner als der einer ferroelektrischen Speichervorrichtung, die nicht über den einen oder die mehreren belastete(n) Seitenwandabstandshalter verfügt. Die höhere Widerstandskraft gegen zyklusbedingte Ausfälle erhöht die Zuverlässigkeit der offenbarten ferroelektrischen Speichervorrichtung.
  • 5 veranschaulicht eine Querschnittsansicht einiger zusätzlicher Ausführungsformen eines integrierten Chips 500 mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält.
  • Der integrierte Chip 500 umfasst eine ferroelektrische Speichervorrichtung 104, die innerhalb einer dielektrischen Struktur 106 über einem Substrat 102 angeordnet ist. In manchen Ausführungsformen kann die dielektrische Struktur 106 eine untere dielektrische Struktur 106L umfassen, die zwischen der ferroelektrischen Speichervorrichtung 104 und dem Substrat 102 angeordnet ist, und eine obere ILD-Schicht 106U, die die ferroelektrische Speichervorrichtung 104 umgibt. In manchen Ausführungsformen umgibt die untere dielektrische Struktur 106L eine oder mehrere untere Zwischenverbindung(en) 504a-504c. In manchen Ausführungsformen umgibt die obere ILD-Schicht 106U eine obere Zwischenverbindungsstruktur 114. In manchen Ausführungsformen kann die eine oder können die mehreren unteren Zwischenverbindung(en) 504a-504c einen leitenden Kontakt, einen Zwischenverbindungsdraht und/oder eine Zwischenverbindungsdurchkontaktierung umfassen. In manchen Ausführungsformen kann die obere Zwischenverbindungsstruktur 114 eine Zwischenverbindungsdurchkontaktierung 114a (z.B. eine obere Elektrodendurchkontaktierung (Top Elektrode Via bzw. TEVA) umfassen und/oder einen Zwischenverbindungsdraht 114b. In manchen Ausführungsformen umgibt die untere dielektrische Struktur 106L mehr Schichten von Zwischenverbindungsdrähten.
  • In manchen Ausführungsformen kann die eine oder können die mehreren unteren Zwischenverbindung(en) 504a-504c die ferroelektrische Speichervorrichtung 104 mit einer Zugangsvorrichtung 502 koppeln. In verschiedenen Ausführungsformen kann die Zugangsvorrichtung 502 einen unipolaren Selektor (z.B. eine Diode), einen bipolaren Selektor (z.B. eine Transistorvorrichtung, die an und/oder innerhalb des Substrats 102 angeordnet ist) oder dergleichen umfassen. In manchen Ausführungsformen kann die Zugangsvorrichtung 502 einen planaren FET, einen FinFET, einen Gate-All-Around-Transistor (GAA-Transistor), einen Nanosheet-Transistor oder dergleichen umfassen. In manchen Ausführungsformen kann eine oder können mehrere Isolationsstruktur(en) 503 (z.B. Flachgrabenisolationsstrukturen (Shallow Trench Isolation bzw. STI-Strukturen) innerhalb des Substrats 102 auf gegenüberliegenden Seiten der Zugangsvorrichtung 502 angeordnet sein. In manchen Ausführungsformen kann die eine oder können die mehreren unteren Zwischenverbindung(en) 504a-504c ferner die Zugangsvorrichtung 502 mit einer Source-Leitung SL und einer Wortleitung WL koppeln. In manchen Ausführungsformen kann die obere Zwischenverbindungsstruktur 114 die ferroelektrische Speichervorrichtung 104 mit einer Bitleitung BL koppeln.
  • In manchen Ausführungsformen kann die eine oder können die mehreren unteren Zwischenverbindung(en) 504a-504c und die obere Zwischenverbindungsstruktur 114 Wolfram, Aluminium, Kupfer, Ruthenium und/oder dergleichen umfassen. In manchen Ausführungsformen kann die dielektrische Struktur 106 ein Nitrid (z.B. Siliziumnitrid, Siliziumoxynitrid), ein Karbid (z.B. Siliziumkarbid), ein Oxid (z.B. Siliziumoxid), Borsilikatglas (BSG), Phosphorsilikatglas (PSG), Borphosphosilikatglas (BPSG), ein Oxid mit niedrigem k-Wert (z.B. ein kohlenstoffdotiertes Oxid, SiCOH) und/oder dergleichen umfassen.
  • In manchen Ausführungsformen ist über der unteren dielektrischen Struktur 106L eine untere Isolationsstruktur 506 angeordnet. Die untere Isolationsstruktur 506 umfasst eine oder mehrere Seitenwände, die eine Öffnung bilden, die über der einen oder den mehreren unteren Zwischenverbindung(en) 504a-504c angeordnet ist. In manchen Ausführungsformen erstreckt sich eine untere Elektrodendurchkontaktierung 508 durch die Öffnung in der unteren Isolierstruktur 506. Die untere Elektrodendurchkontaktierung 508 koppelt die ferroelektrische Speichervorrichtung 104 mit der einen oder den mehreren unteren Zwischenverbindung(en) 504a-504c.
  • Die ferroelektrische Speichervorrichtung 104 ist über der unteren Isolationsstruktur 506 und der unteren Elektrodendurchkontaktierung 508 angeordnet. Die ferroelektrische Speichervorrichtung 104 umfasst eine ferroelektrische Datenspeicherstruktur 110, die zwischen einer unteren Elektrode 108 und einer oberen Elektrode 112 angeordnet ist. Die untere Elektrode 108 kann ein erstes Metall umfassen und die obere Elektrode 112 kann ein zweites Metall umfassen. In manchen Ausführungsformen kann das erste Metall und/oder das zweite Metall Wolfram, Tantal, Titan, Tantalnitrid, Titannitrid, Ruthenium, Platin, Iridium, Molybdän oder dergleichen umfassen. Die ferroelektrische Datenspeicherstruktur 110 umfasst ein dielektrisches Material mit hohem k-Wert, wie etwa Hafniumoxid (z.B. HfO2), Hafnium-Zirkoniumoxid (z.B. HfZrO2), Zirkoniumoxid (z.B. ZrO2) und/oder dergleichen. In manchen Ausführungsformen können die untere Elektrode 108 und die obere Elektrode 112 Höhen aufweisen, die zwischen etwa 100 Angström (Å) und etwa 1000 Å, zwischen etwa 100 Å und etwa 500 Å, oder bei anderen ähnlichen Werten liegen.
  • Ein oder mehrere belastete(r) Seitenwandabstandshalter 116 sind entlang gegenüberliegenden Seiten der oberen Elektrode 112 angeordnet. In manchen Ausführungsformen kann bzw. können der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 Siliziumnitrid, Siliziumkohlenstoffnitrid, Aluminiumnitrid oder dergleichen umfassen. In manchen Ausführungsformen können der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 eine Höhe aufweisen, die zwischen etwa 100 Å und etwa 2000 Å, zwischen etwa 100 Å und etwa 100 Å, zwischen etwa 100 Å und etwa 500 Å liegt, oder bei anderen ähnlichen Werten.
  • In manchen Ausführungsformen wird eine Hartmaske 510 über der oberen Elektrode 112 angeordnet. In manchen solchen Ausführungsformen können der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 entlang gegenüberliegenden Seiten der oberen Elektrode 112 und der Hartmaske 510 angeordnet sein. In manchen Ausführungsformen kann bzw. können der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 ein gleiches Material umfassen wie die Hartmaske 510. Der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 und die Hartmaske 510 können beispielsweise ein Karbid (z.B. Siliziumkarbid), ein Nitrid (z.B. Siliziumnitrid), ein Oxid (z.B. Siliziumoxynitrid) oder dergleichen umfassen. In anderen Ausführungsformen kann bzw. können der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 ein anderes Material umfassen als die Hartmaske 510. In manchen Ausführungsformen können sich der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 und die Hartmaske 510 auf verschiedenen Höhen über dem Substrat 102 erstrecken (die Hartmaske 510 kann beispielsweise einer obere Fläche haben, die unter eine obere Fläche des einen oder der mehreren belastete(n) Seitenwandabstandshalter 116 ausgespart ist oder umgekehrt).
  • Während des Betriebs können auf die untere Elektrode 108 und/oder die obere Elektrode 112 eine oder mehrere Vorspannungen angelegt werden. Während eines Schreibvorgangs kann bzw. können beispielsweise eine oder mehrere Vorspannungen an die untere Elektrode 108 und/oder die obere Elektrode 112 angelegt werden, um die Ladungsträger (z.B. Elektronen und/oder Defektelektronen) zu veranlassen, sich in der unteren Elektrode 108 und/oder der oberen Elektrode 112 zu akkumulieren. Die Ladeträger erzeugen ein elektrisches Feld, das sich durch die ferroelektrische Datenspeicherstruktur 110 erstreckt. Die elektrischen Felder sind dafür ausgelegt, die Positionen elektrischer Dipole innerhalb der ferroelektrischen Datenspeichervorrichtung 110 in Abhängigkeit von den Vorspannungen zu ändern. Wenn die Positionen der elektrischen Dipole innerhalb der ferroelektrischen Datenspeicherstruktur 110 eine erste Polarisation definieren, speichert die ferroelektrische Speichervorrichtung 104 Daten digital als einen ersten Bitwert (z.B. eine logisch „o“). Alternativ speichert die ferroelektrische Speichervorrichtung 105 Daten digital als einen zweiten Bitwert (z.B. eine logische „1), wenn die Positionen der elektrischen Dipole innerhalb der ferroelektrischen Datenspeicherstruktur 110 eine zweite Polarisation definieren.
  • 6A veranschaulicht eine Querschnittsansicht einiger zusätzlicher Ausführungsformen einen integrierten Chip 600 mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält.
  • Der integrierte Chip 600 umfasst eine ferroelektrische Speichervorrichtung 104, die innerhalb einer dielektrischen Struktur 106 über einem Substrat 102 angeordnet ist. Die ferroelektrische Speichervorrichtung 104 umfasst eine ferroelektrische Datenspeicherstruktur 110, die zwischen einer unteren Elektrode 108 und einer oberen Elektrode 112 angeordnet ist. Die dielektrische Struktur 106 umfasst eine untere dielektrische Struktur 106L, die von einer oberen ILD-Schicht 106U durch eine untere Isolationsstruktur 506 getrennt ist. Die untere dielektrische Struktur 106L umgibt eine untere Zwischenverbindung 504. In manchen Ausführungsformen kann die untere Zwischenverbindung 504 einen leitenden Kern 602 umfassen, der von einer Barriere 604 umgeben ist. Der leitende Kern 602 kann ein Metall umfassen, wie etwa Kupfer, Aluminium, Wolfram und/oder dergleichen. Die Barriere 604 kann ein Metallnitrid umfassen, wie etwa Titan, Tantal, Titannitrid, Tantalnitrid und/oder dergleichen.
  • In manchen Ausführungsformen kann die untere Isolationsstruktur 506 mehrere dielektrische Materialien umfassen, die aufeinander gestapelt sind. Die untere Isolationsstruktur 506 kann beispielsweise eines oder mehreres umfassen von Siliziumnitrid, Siliziumdioxid, Siliziumkarbid, Tetraethylorthosilikat (TEOS) oder dergleichen. In manchen Ausführungsformen umfasst die untere Isolationsstruktur 506 eine erste untere Isolationsschicht 506a, eine zweite untere Isolationsschicht 506b über der ersten unteren Isolationsschicht 506a und eine dritte untere Isolationsschicht 506c über der zweiten unteren Isolationsschicht 506b. Eine untere Elektrodendurchkontaktierung 508 erstreckt sich durch die untere Isolationsstruktur 506. Die untere Elektrodendurchkontaktierung 508 koppelt die untere Zwischenverbindung 504 mit der ferroelektrischen Speichervorrichtung 104.
  • An der ferroelektrischen Speichervorrichtung 104 und der unteren Isolationsstruktur 506 ist eine Schutzstruktur 606 angeordnet. In manchen Ausführungsformen kann die Schutzstruktur 606 eines oder mehreres umfassen von einem Oxid (z.B. siliziumreiches Oxid, Siliziumdioxid usw.), einem Nitrid (z.B. Siliziumnitrid, Siliziumnitrid usw.), einem Karbid (z.B. Siliziumkarbid, Siliziumoxykarbid usw.) oder dergleichen. In manchen Ausführungsformen umfasst die Schutzstruktur 606 eine erste Schutzschicht 606a, eine zweite Schutzschicht 606b über der ersten Schutzschicht 606a und eine dritte Schutzschicht 606c über der zweiten Schutzschicht 606b. Innerhalb der oberen ILD-Schicht 106U ist eine obere Zwischenverbindungsstruktur 114 angeordnet. Die obere Zwischenverbindungsstruktur 114 erstreckt sich von einer oberen Fläche der oberen ILD-Schicht 106U durch die Schutzstruktur 606 und zu der ferroelektrischen Speichervorrichtung 104.
  • 6B veranschaulicht eine Draufsicht 608 auf den integrierten Chip 600 in 6A. In manchen Ausführungsformen kann sich die in 6A gezeigte Querschnittsansicht entlang der Linie B-B` in 6B erstrecken. In manchen Ausführungsformen kann sich die in 6B gezeigte Draufsicht 608 entlang der Linie A-A` in 6A erstrecken.
  • Wie in Draufsicht 608 gezeigt, erstrecken sich der eine oder die mehreren Seitenwandabstandshalter 116 auf einem geschlossenen und ununterbrochenem Pfad um die obere Elektrode 112, die Schutzstruktur 606 erstreckt sich auf in einem geschlossenen und ununterbrochenem Pfad um die obere Elektrode 112 und die obere ILD-Schicht 106U erstreckt sich auf einem geschlossenen und ununterbrochenem Pfad um die Schutzstruktur 606.
  • 7 veranschaulicht eine Querschnittsansicht einiger zusätzlicher Ausführungsformen eines integrierten Chips 700 mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält.
  • Der integrierte Chip 700 umfasst eine untere Zwischenverbindung 504, die innerhalb einer dielektrischen Struktur 106 über einem Substrat 102 angeordnet ist. Über der unteren dielektrischen Struktur 106L und der unteren Zwischenverbindung 504 ist eine untere Isolationsstruktur 506 angeordnet. Über der unteren Isolationsstruktur 506 ist eine ferroelektrische Speichervorrichtung 104 angeordnet. Die ferroelektrische Speichervorrichtung 104 umfasst eine ferroelektrische Datenspeicherstruktur 110, die zwischen einer unteren Elektrode 108 und einer oberen Elektrode 112 angeordnet ist. Ein oder mehrere belastete(r) Seitenwandabstandshalter 116 sind entlang gegenüberliegenden Seiten der oberen Elektrode 112 angeordnet. In manchen Ausführungsformen ist bzw. sind der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 über einem obersten Punkt der ferroelektrischen Datenspeicherstruktur 110 angeordnet, der sich seitlich außerhalb der oberen Elektrode 112 befindet. In manchen Ausführungsformen weist die ferroelektrische Datenspeicherstruktur 110 eine im Wesentlichen einheitliche Dicke zwischen gegenüberliegenden äußersten Seitenwänden der ferroelektrischen Datenspeicherstruktur 110 auf.
  • In manchen Ausführungsformen sind die untere Elektrode 108, die ferroelektrische Datenspeicherstruktur 110 und die obere Elektrode 112 konforme Schichten (sie weisen beispielsweise jeweils eine im Wesentlichen V-förmige Struktur auf). In manchen solchen Ausführungsformen säumt die untere Elektrode 108 eine oder mehrere Seitenwände der unteren Isolationsstruktur 506 und weist abgewinkelte innere Seitenwände auf, die eine erste Aussparung innerhalb einer oberen Fläche der unteren Elektrode 108 definieren. Die ferroelektrische Datenspeicherstruktur 110 säumt die abgewinkelten inneren Seitenwände der unteren Elektrode 108 und weist abgewinkelte innere Seitenwände auf, die eine zweite Aussparung innerhalb einer oberen Fläche der ferroelektrischen Datenspeicherstruktur 110 definieren. Die obere Elektrode 112 säumt die abgewinkelten inneren Seitenwände der ferroelektrischen Datenspeicherstruktur 110. In manchen Ausführungsformen kann die obere Elektrode 112 die zweite Aussparung vollständig ausfüllen. In manchen Ausführungsformen kann die obere Elektrode 112 abgewinkelte innere Seitenwände umfassen, die eine dritte Aussparung mit einer oberen Fläche der oberen Elektrode 112 definieren.
  • Weil die untere Elektrode 108, die ferroelektrische Datenspeicherstruktur 110 und die obere Elektrode 112 konforme Schichten sind, weisen sie einen Flächenbereich auf, der sich sowohl in lateraler Richtung als auch in vertikaler Richtung erstreckt. Dadurch, dass sie sich sowohl in lateraler als auch vertikaler Richtung erstrecken, verfügen die Schichten über eine größere effektive Breite (d.h. Abstand zwischen äußeren Seitenwänden einer Schicht, wie entlang oberen Flächen der Schicht gemessen). Die größere effektive Breite vergrößert die ferroelektrische Datenspeicherstruktur 110, ohne die Grundfläche der ferroelektrischen Speichervorrichtung 104 zu vergrößern. Durch Vergrößern der ferroelektrischen Datenspeicherstruktur 110 kann die Leistung der ferroelektrischen Speichervorrichtung 104 verbessert werden.
  • 8 veranschaulicht eine Querschnittsansicht einiger zusätzlicher Ausführungsformen eines integrierten Chips 800 mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält.
  • Der integrierte Chip 800 umfasst eine ferroelektrische Speichervorrichtung 104, die über einer unteren Zwischenverbindung 504 innerhalb einer dielektrischen Struktur 106 über einem Substrat 102 angeordnet ist. Die ferroelektrische Speichervorrichtung 104 umfasst eine ferroelektrische Datenspeicherstruktur 110, die zwischen einer unteren Elektrode 108 und einer oberen Elektrode 112 angeordnet ist. Ein oder mehrere belastete(r) Seitenwandabstandshalter 116 sind entlang gegenüberliegenden Seiten der oberen Elektrode 112 angeordnet.
  • In manchen Ausführungsformen kann die ferroelektrische Datenspeicherstruktur 110 eine oder mehrere Aussparung(en) 802 innerhalb einer oberen Fläche der ferroelektrischen Datenspeicherstruktur 110 aufweisen. Die eine oder die mehreren Aussparung(en) 802 sind innerhalb eines oder mehrerer Peripheriebereich(e) 120 der ferroelektrischen Datenspeicherstruktur 110, der bzw. die einen zentralen Bereich 118 der ferroelektrischen Datenspeicherstruktur 110 umgeben, angeordnet. Die eine oder die mehreren Aussparung(en) 802 verringern eine Dicke der ferroelektrischen Datenspeicherstruktur 110 innerhalb des einen oder der mehreren Peripheriebereich(e) 120, so dass die ferroelektrische Datenspeicherstruktur 110 eine größere Dicke innerhalb des zentralen Bereichs 118 aufweist als innerhalb des einen oder der mehreren Peripheriebereiche 120.
  • Der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 erstreckt bzw. erstrecken sich von entlang der Seiten der oberen Elektrode 112 nach innerhalb von einer oder mehreren Aussparung(en) 802, so dass sich der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 entlang einer oder mehrerer Seitenwände der ferroelektrischen Datenspeicherstruktur 110 erstreckt bzw. erstrecken. Dadurch, dass sich der eine oder die mehreren Seitenwandabstandshalter 116 entlang einer oder mehreren Seitenwänden der ferroelektrischen Datenspeicherstruktur 110 erstreckt bzw. erstrecken, kann es dem einen oder den mehreren Seitenwandabstandshalter(n) 116 möglich sein, eine orthorhombische Phasenkonzentration (z.B. eine polare orthorhombische Phasenkonzentration) innerhalb des zentralen Bereichs 118 der ferroelektrischen Datenspeicherstruktur 110 zu erhöhen. In solchen Ausführungsformen kann die orthorhombische Phasenkonzentration der ferroelektrischen Datenspeicherstruktur 110 innerhalb des einen oder der mehreren Peripheriebereich(e) 120 und innerhalb eines Teils des zentralen Bereichs 118 größer oder gleich etwa 70% sein, wodurch der Betrieb der ferroelektrischen Speichervorrichtung 104 noch weiter verbessert wird.
  • 9 veranschaulicht eine Querschnittsansicht einiger zusätzlicher Ausführungsformen eines integrierten Chips 900 mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält.
  • Der integrierte Chip 900 umfasst eine oder mehrere untere Zwischenverbindung(en) 504a-504b, die innerhalb einer unteren dielektrischen Struktur 106L, die über einem Substrat 102 angeordnet ist, angeordnet sind. In manchen Ausführungsformen kann die untere dielektrische Struktur 106L eine erste untere ILD-Schicht 106a und eine zweite untere ILD-Schicht 106b umfassen, die durch eine Ätzstoppschicht 107 getrennt sind. Über der unteren dielektrischen Struktur 106L und der einen oder den mehreren unteren Zwischenverbindung(en) 504a-504b ist eine untere Isolationsstruktur 506 angeordnet. Eine untere Elektrodendurchkontaktierung 508 erstreckt sich durch die untere Isolationsstruktur 506. In manchen Ausführungsformen umfasst die untere Elektrodendurchkontaktierung 508 einen leitenden Kern 902, der von einer Auskleidung 904 umgeben ist. In manchen Ausführungsformen weisen der leitfähige Kern 902 und die Auskleidung 904 obere Flächen auf, die im Wesentlichen koplanar mit einer oberen Fläche der unteren Isolationsstruktur 506 sind.
  • An der unteren Elektrodendurchkontaktierung 508 ist eine ferroelektrische Speichervorrichtung 104 angeordnet. Die ferroelektrische Speichervorrichtung 104 umfasst eine ferroelektrische Datenspeicherstruktur 110, die zwischen einer unteren Elektrode 108 und einer oberen Elektrode 112 angeordnet ist. In manchen Ausführungsformen ist über der oberen Elektrode 112 eine Hartmaske angeordnet. Entlang gegenüberliegender Seiten der oberen Elektrode 112 und der Hartmaske 510 ist bzw. sind ein oder mehrere belastete(r) Seitenwandabstandshalter 116 angeordnet.
  • Über dem einen oder den mehreren belastete(n) Seitenwandabstandshalter(n) 116 und der Hartmaske 510 ist eine Schutzstruktur 606 angeordnet. Die Schutzstruktur 606 erstreckt sich durchgehend von über der Hartmaske 510 zu der unteren Isolationsstruktur 506. In manchen Ausführungsformen können die Hartmaske 510 und die Schutzstruktur 606 jeweils eine Dicke in einem Bereich zwischen etwa 50 Å und etwa 150 Å aufweisen. Über der Schutzstruktur 606 ist eine obere ILD-Schicht 106U angeordnet. Innerhalb der oberen ILD-Schicht 106U ist eine obere Zwischenverbindungsstruktur 114 angeordnet. Die obere Zwischenverbindungsstruktur 114 erstreckt sich von einer oberen Fläche der oberen ILD-Schicht 106U zu der oberen Elektrode 112.
  • 10 veranschaulicht eine Querschnittsansicht einiger zusätzlicher Ausführungsformen eines integrierten Chips 1000 mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält.
  • Der integrierte Chip 1000 umfasst eine ferroelektrische Speichervorrichtung 104, die über einer unteren Isolationsstruktur 506 angeordnet ist, die sich über einer unteren dielektrischen Struktur 106L auf einem Substrat 102 befindet. Die ferroelektrische Speichervorrichtung 104 umfasst eine ferroelektrische Datenspeicherstruktur 110, die zwischen einer unteren Elektrode 108 und einer oberen Elektrode 112 angeordnet ist. In manchen Ausführungsformen kann die untere Elektrode 108 ein erstes unteres Elektrodenmaterial 1002 und ein zweites unteres Elektrodenmaterial 1004 umfassen. In manchen Ausführungsformen kann die obere Elektrode 112 ein erstes oberes Elektrodenmaterial 1006 und ein zweites oberes Elektrodenmaterial 1008 umfassen. Entlang gegenüberliegender Seiten der oberen Elektrode 112 und einer Hartmaske 510 ist bzw. sind ein oder mehrere belastete(r) Seitenwandabstandshalter 116 angeordnet.
  • In manchen Ausführungsformen sind die untere Elektrode 108, die ferroelektrische Datenspeicherstruktur 110 und die obere Elektrode 112 konforme Schichten (sie weisen beispielsweise jeweils eine im Wesentlichen V-förmige Struktur auf). In solchen Ausführungsformen kann sich eine Schutzstruktur 606 von über dem einen oder den mehreren belastete(n) Seitenwandabstandshalter(n) 116 nach innerhalb einer Aussparung innerhalb einer oberen Fläche der Hartmaske 510 erstrecken. Eine obere ILD-Schicht 106U, die die ferroelektrische Speichervorrichtung 104 umgibt, kann sich nach innerhalb einer Aussparung 1010 erstrecken, die von Seitenwänden der Schutzstruktur 606 definiert wird.
  • 11-22 veranschaulichen einige Ausführungsformen eines Verfahrens zum Bilden eines integrierten Chips mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält, die dafür ausgelegt sind, eine orthorhombische Phasenkonzentration innerhalb einer ferroelektrischen Datenspeicherstruktur zu beeinflussen. Obwohl 11-22 in Bezug auf ein Verfahren beschrieben sind, ist zu würdigen, dass die in 11-22 offenbarten Strukturen nicht auf solch ein Verfahren beschränkt sind, sondern stattdessen als vom Verfahren unabhängige Strukturen stehen können.
  • Wie in der Querschnittsansicht 1100 in 11 gezeigt, wird ein Substrat 102 bereitgestellt. In verschiedenen Ausführungsformen kann das Substrat 102 eine beliebige Art von Halbleiterkörper (z.B. Silizium, SiGe, SOI usw.) sein, wie etwa ein Halbleiterwafer und/oder ein oder mehrere Die(s) auf einem Wafer, sowie jedwede andere Art von Halbleiter und/oder Epitaxieschichten, die ihnen zugeordnet sind. Innerhalb des Substrats 102 kann eine Zugangsvorrichtung 502 ausgebildet sein. In manchen Ausführungsformen kann die Zugangsvorrichtung 502 einen Transistor umfassen. In manchen solcher Ausführungsformen kann die Zugangsvorrichtung 502 durch Abscheiden eines dielektrischen Gate-Films und eines Gate-Elektroden-Films über dem Substrat 102 gebildet werden. Der dielektrische Gate-Film und der Gate-Elektroden-Film werden anschließend strukturiert, um ein Gate-Dielektrikum (z.B. 502g) und eine Gate-Elektrode (z.B. 502e) zu bilden. Das Substrat 102 kann anschließend implantiert werden, um Source-/Drain-Bereiche (z.B. 502sd) innerhalb des Substrats 102 und auf gegenüberliegenden Seiten der Gate-Elektrode (z.B. 502e) zu bilden. In manchen Ausführungsformen kann eine oder können mehrere Isolationsstruktur(en) 503 (z.B. STI-Strukturen) innerhalb des Substrats 102 zwischen einer Zugangsvorrichtung 502 und einer benachbarten Zugangsvorrichtung gebildet werden.
  • Wie in der Querschnittsansicht 1200 der 12 gezeigt, werden mehrere untere Zwischenverbindung(en) 504a-504b innerhalb einer unteren dielektrischen Struktur 106L gebildet, die ein oder mehrere dielektrische Zwischenschichten (Inter-Level-Dielectric-Layers; ILD) 106a-106b umfasst, die über dem Substrat 102 ausgebildet sind. In manchen Ausführungsformen können die eine oder die mehreren unteren ILD-Schichten 106a-106b eine erste untere ILD-Schicht 106a und eine zweite untere ILD-Schicht 106b, die durch eine Ätzstoppschicht 107 getrennt sind, umfassen. In manchen Ausführungsformen können die mehreren unteren Zwischenverbindung(en) 504a-504b einen leitenden Kontakt, einen Zwischenverbindungsdraht und/oder eine Zwischenverbindungsdurchkontaktierung umfassen. Die mehreren unteren Zwischenverbindung(en) 504a-504b können durch Bilden von einer oder mehreren unteren ILD-Schichten 106a-106b (z.B. ein Oxid, ein Dielektrikum mit niedrigem k-Wert oder ein Dielektrikum mit ultraniedrigem k-Wert) über dem Substrat 102 durch selektives Ätzen der unteren ILD-Schicht zum Definieren eines Lochs und/oder eines Grabens innerhalb der ILD-Schicht, Ausbilden eines leitenden Materials (z.B. Kupfer, Aluminium, Wolfram usw.) innerhalb des Lochs und/oder Grabens und Durchführen eines Planarisierungsprozesses (z.B. eines chemisch-mechanischen Planarisierungsprozesses (CMP-Prozess)) zum Entfernen überschüssigen leitenden Materials von über der unteren ILD-Schicht gebildet werden.
  • Wie in der Querschnittsansicht 1300 der 13 gezeigt, wird über der unteren dielektrischen Struktur 106L eine untere Isolationsschicht 506 gebildet. In manchen Ausführungsformen kann die untere Isolationsstruktur 506 eines oder mehreres umfassen von einem Oxid, Siliziumnitrid, Siliziumkarbid, Siliziumoxynitrid, TEOS, einem Metalloxid, einem Metallnitrid, einem Metallkarbid oder dergleichen. In manchen Ausführungsformen kann die untere Isolationsstruktur 506 derart gebildet werden, dass sie eine erste untere Isolationsschicht 506a und eine zweite untere Isolationsschicht 506b über der ersten unteren Isolationsschicht 506a aufweist. In manchen Ausführungsformen kann die untere Isolationsstruktur 506 durch einen oder mehrere verschiedene Abscheidungsprozesse (z.B. physikalische Gasphasenabscheidung (PVD), chemische Gasphasenabscheidung (CVD), PE-CVD, Atomlagenabscheidung (ALD), Sputtern usw.) auf eine Dicke in einem Bereich zwischen etwa 200 Angstrom und etwa 400 Angstrom ausgebildet werden.
  • Wie in Querschnittsansicht 1400 in 14 gezeigt, wird die untere Isolationsstruktur 506 selektiv strukturiert, um mehrere Öffnungen 1402 zu definieren, die sich durch die untere Isolationsstruktur 506 erstrecken. Die mehreren Öffnungen 1402 legen die mehreren unteren Zwischenverbindung(en) 504a-504b frei. In manchen Ausführungsformen kann die untere Isolationsstruktur 506 durch Exposition der unteren Isolationsstruktur 506 gegenüber einem Ätzmittel 1404 in Übereinstimmung mit einer auf der unteren Isolationsstruktur 506 angeordneten Maske 1406 selektiv strukturiert werden. In manchen Ausführungsformen kann die Maske 1406 ein Photoresistmaterial, eine Hartmaske oder dergleichen umfassen. In manchen Ausführungsformen kann das Ätzmittel 1404 ein Trockenätzmittel (welches beispielsweise Fluor oder Chlor umfasst) umfassen.
  • Innerhalb der mehreren Öffnungen 1402 wird eine untere Elektrodendurchkontaktierung 508 gebildet. Die untere Elektrodendurchkontaktierung 508 erstreckt sich durch die untere Isolationsstruktur 506 zu den mehreren unteren Zwischenverbindung(en) 504a-504b. In manchen Ausführungsformen kann die untere Elektrodendurchkontaktierung 508 durch Abscheiden einer Auskleidung 904 nach Abscheiden eines leitenden Kerns 902 gebildet werden. In einigen solchen Ausführungsformen kann die Auskleidung 904 über der unteren Isolationsstruktur 506 und innerhalb der mehreren Öffnungen 1402 vor Bilden des leitenden Kerns 902 über der Auskleidung 904 und innerhalb der mehreren Öffnungen 1402 gebildet werden. In manchen Ausführungsformen kann nach Abscheiden eines leitenden Materials auf die Auskleidung 904 zum Bilden des leitenden Kerns 902 ein Planarisierungsprozess (z.B. ein CMP-Prozess) durchgeführt werden. In verschiedenen Ausführungsformen kann die Auskleidung 904 eine Klebstoffschicht umfassen, die dafür ausgelegt ist, die Haftung zwischen benachbarten Schichten zu verbessern, und/oder eine Diffundierungssperrschicht, die dafür ausgelegt ist, Diffundierung zwischen benachbarten Schichten zu verhindern. In manchen Ausführungsformen kann die Auskleidung 904 eines oder mehrere umfassen von Titannitrid, Platin, Aluminiumkupfer, Gold, Titan, Tantal, Wolfram, Wolframnitrid oder dergleichen. In manchen Ausführungsformen kann der leitende Kern 902 eines oder mehrere umfassen von Tantal, Tantalnitrid, Titan, Titannitrid, Wolfram, Platin oder dergleichen.
  • Wie in der Querschnittsansicht 1500 in 15 gezeigt, wird über der unteren Elektrodendurchkontaktierung 508 und der unteren Isolationsstruktur 506 ein FeRAM-Stapel 1502 gebildet. Der FeRAM-Stapel 1502 kann eine untere Elektrodenschicht 1504 umfassen, die über der unteren Elektrodendurchkontaktierung 508 und der unteren Isolationsschicht 506 ausgebildet ist, eine ferroelektrische Datenspeicherschicht 1506, die über der unteren Elektrodenschicht 1504 ausgebildet ist, und eine obere Elektrodenschicht 1508, die über der ferroelektrischen Datenspeicherschicht 1506 ausgebildet ist. In manchen Ausführungsformen können die untere Elektrodenschicht 1504 und die obere Elektrodenschicht 1508 ein Metall (z.B. Titan, Tantal usw.), ein Metallnitrid (z.B. Titannitrid, Tantalnitrid usw.) oder dergleichen umfassen. In manchen Ausführungsformen kann die ferroelektrische Datenspeicherschicht 1506 ein binäres Oxid, ein ternäres Oxid, ein quaternäres Oxid, mit Silizium dotiertes Hafnium, Zirkonium, Gadolinium oder dergleichen umfassen. In manchen Ausführungsformen kann nach Bilden der unteren Elektrodenschicht 1504 und vor Bilden der ferroelektrischen Datenspeicherschicht 1506 ein Stickstoffplasmaprozess durchgeführt werden. Der Stickstoffplasmaprozess kann die untere Elektrodenschicht 1504 einem auf Distickstoffoxid (N2O) basierendem Plasma aussetzen, um die Rauheit der obersten Fläche der unteren Elektrodenschicht 1504 zu reduzieren.
  • Wie in Querschnittsansicht 1600 in 16 gezeigt, wird über der oberen Elektrodenschicht 1508 eine Hartmaskenschicht 1602 gebildet. In manchen Ausführungsformen kann die Hartmaskenschicht 1602 eines oder mehreres umfassen von Titannitrid, Siliziumoxid, Siliziumnitrid, Siliziumkohlenstoffnitrid, einem Metalloxid (z.B. Titanoxid, Aluminiumoxid usw.) oder dergleichen.
  • Wie in der Querschnittsansicht 1700 in 17 gezeigt, wird ein erster Strukturierungsprozess zum Definieren einer oberen Elektrode 112 und einer Hartmaske 510 durchgeführt. Der erste Strukturierungsprozess legt die Hartmaskenschicht (1602 in 16) und die obere Elektrodenschicht (1508 in 16) in Übereinstimmung mit einer Maske 1704 (z.B. ein Photoresistmaterial, eine Hartmaske oder dergleichen) zum Definieren der oberen Elektrode 112 und der Hartmaske 510 selektiv frei.
  • Wie in Querschnittsansicht 1800 in 18A gezeigt, werden ein oder mehrere belastete(r) Seitenwandabstandshalter 116 entlang Seitenwänden der oberen Elektrode 112 und der Hartmaske 510 gebildet. In manchen Ausführungsformen können der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 Seitenwände der oberen Elektrode 112 und/oder die Hartmaske 510 vollständig bedecken. In verschiedenen Ausführungsformen kann bzw. können der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 Siliziumnitrid, Siliziumkohlenstoffnitrid, Aluminiumnitrid oder dergleichen umfassen. In manchen Ausführungsformen können der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 derart ausgebildet sein, dass sie eine Belastung aufweisen, die eine Zugspannung umfasst (z.B. eine Belastung, die eine Kraft erzeugt, die seitlich von der oberen Elektrode 112 wirkt). In anderen Ausführungsformen können der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 derart ausgebildet sein, dass sie eine Belastung aufweisen, die eine Druckspannung umfasst (z.B. eine Belastung, die eine Kraft erzeugt, die seitlich in Richtung der der oberen Elektrode 112 wirkt). In manchen Ausführungsformen kann die Belastung in einem Bereich von zwischen etwa 50 MPa und etwa 1200 MPa, zwischen etwa 100 MPa und etwa 1000 MPa, zwischen etwa 200 MPa und etwa 600 MPa, zwischen etwa 400 MPa und etwa 500 MPa oder bei anderen ähnlichen Werten liegen.
  • In manchen Ausführungsformen können der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 durch Bilden einer belasteten Abstandshalterschicht über dem Substrat gebildet werden. In manchen Ausführungsformen kann die belastete Abstandshalterschicht unter Verwendung einer Abscheidungstechnik (z.B. PVD, CVD, PE-CVD, ALD, Sputtern usw.) gebildet werden. Die belastete Abstandshalterschicht wird anschließend einem Ätzmittel (z.B. einem Trockenätzmittel) ausgesetzt, das die belastete Abstandshalterschicht von horizontalen Flächen entfernt. Entfernen der belasteten Abstandshalterschicht von horizontalen Flächen belässt einen Teil der belasteten Abstandshalterschicht entlang gegenüberliegenden Seiten der oberen Elektrode 112 und der Hartmaske 510 als den einen oder die mehreren belastete(n) Seitenwandabstandshalter 116.
  • In manchen Ausführungsformen können der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 ein Siliziumnitridmaterial (z.B. ein siliziumreiches Nitrid) mit einem Stickstoff-Silizium-Verhältnis von etwa 1,35, größer als etwa 1,35, größer als etwa 1,5 oder anderen ähnlichen Werten umfassen. In manchen Ausführungsformen kann das Siliziumnitridmaterial einen Brechungsindex von weniger als etwa 1,9, wie bei 633 nm gemessen, aufweisen. In manchen Ausführungsformen kann das Siliziumnitridmaterial unter Verwendung einer Dampfabscheidungstechnik (z.B. eine chemische Gasphasenabscheidungstechnik), die Silan (SiH4) und Ammoniak (NH3) als Reaktionsgase verwendet, gebildet werden. In manchen Ausführungsformen kann das SiH4-Gas mit einer Flussrate von zwischen etwa 10 sccm (Standardkubikzentimeter pro Minute) und etwa 100 sccm in eine Prozesskammer eingeströmt werden. In manchen Ausführungsformen kann ein NH3-Gas zu SiH4-Gas Verhältnis zwischen etwa 0,8 und etwa 3 liegen. In manchen Ausführungsformen kann die Dampfabscheidungstechnik mit einer Leistung durchgeführt werden, die in einem Bereich zwischen etwa 10 W und etwa 250 W liegt, bei einem Druck von zwischen etwa 3 Torr und etwa 6 Torr und bei einer Temperatur zwischen etwa 200 °C und etwa 400 °C.
  • Es ist zu würdigen, dass der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 derart gebildet werden können, dass sie verschiedene Belastungen aufweisen, indem Prozessparameter, wie etwa Flussrate von SiH4, Flussrate von NH3, eine Leistung des Abscheidungsprozesses, eine Temperatur des Abscheidungsprozesses, ein Druck des Abscheidungsprozesses und/oder dergleichen, geändert werden. 18B veranschaulicht beispielsweise ein Diagramm 1802 mit einer ersten Trendlinie 1804, die eine SiH4-Flussrate (X-Achse) als eine Funktion des Belastungswerts (Y-Achse) hat. Wenn die SiH4-Flussrate abnimmt, steigt der Belastungswert des einen oder der mehreren belastete(n) Seitenwandabstandshalter 116. 18C veranschaulicht ein Diagramm 1806 mit einer zweiten Trendlinie 1808, die eine NH3,-Flussrate (X-Achse) als eine Funktion des Belastungswerts (Y-Achse) zeigt. Wenn die NH3-Flussrate zunimmt, nimmt auch der Belastungswert des einen oder der mehreren belastete(n) Seitenwandabstandshalter 116 zu. 18D veranschaulicht ein Diagramm 1810 mit einer dritten Trendlinie 1812, die eine Leistung eines Abscheidungsprozesses (X-Achse) als eine Funktion des Belastungswerts (Y-Achse) zeigt. Mit abnehmender Leistung nimmt der Belastungswert des einen oder der mehreren belastete(n) Seitenwandabstandshalter 116 zu.
  • In anderen Ausführungsformen können der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 Silizium-Kohlenstoff-Nitrid-Material (SiCN) (z.B. stickstoffreiches SiCN) mit einem Brechungsindex von weniger als etwa 1,98, wie bei 633 nm gemessen, umfassen. In noch anderen Ausführungsformen können der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 Aluminiumnitrid mit einem Belastungswert von zwischen etwa 0 MPa und etwa 600 MPa umfassen. In manchen Ausführungsformen kann das Aluminiumnitrid ein N-Al-Verhältnis von zwischen etwa 1,01 und etwa 1,05 aufweisen. In manchen Ausführungsformen kann das Aluminiumnitrid einen Brechungsindex aufweisen, der zwischen etwa 2,0 und etwa 2,08 liegt.
  • Wie in Querschnittsansicht 1900 in 19 gezeigt, wird nach dem Bilden des einen oder der mehreren belastete(n) Seitenwandabstandshalter 116 ein Temperprozess 1902 durchgeführt. Aufgrund der Belastung des einen oder der mehreren belastete(n) Seitenwandabstandshalter 116, erhöht der Temperprozess 1902 eine orthorhombische Kristallphase (z.B. eine polare orthorhombische Kristallphase) innerhalb von Teilen der ferroelektrischen Datenspeicherschicht 1506, die direkt unter dem einen oder den mehreren belastete(n) Seitenwandabstandshalter(n) 116 liegen. In manchen Ausführungsformen kann der Temperprozess Teile der ferroelektrischen Datenspeicherschicht 1506 von einer amorphen Phase, einer monoklinen Phase und/oder einer tetragonalen Phase zu einer orthorombischen Phase (z.B. eine polare orthorhombische Phase) verändern. In manchen zusätzlichen Ausführungsformen kann der Temperprozess Teile der ferroelektrischen Datenspeicherschicht 1506 von einer nicht-polaren orthorhombischen Phase zu einer polaren orthorhombischen Phase verändern. Ändern der nicht-polaren orthorhombischen Phase zu einer polaren orthorhombischen Phase kann dazu beitragen, die Leistung der resultierenden ferroelektrischen Speichervorrichtung zu verbessern, da die polare orthorhombische Phase bessere ferroelektrische Eigenschaften bietet als die nicht-polare orthorhombische Phase.
  • In manchen Ausführungsformen kann der Temperprozess 1902 bei einer Temperatur von mehr als etwa 300 °C, mehr als etwa 400 °C, mehr als etwa 500 °C oder bei anderen ähnlichen Werten durchgeführt werden. In manchen Ausführungsformen kann der Temperprozess 1902 etwa 30 Minuten lang, etwa 40 Minuten lang oder mit anderen ähnlichen Werten durchgeführt werden. In manchen Ausführungsformen kann der Temperprozess 1902 bei einer Temperatur von mehr als 400 °C für etwa 30 Minuten durchgeführt werden.
  • In manchen Ausführungsformen kann die ferroelektrische Datenspeicherschicht 1506 einen zentralen Bereich 118 aufweisen, der direkt unter der oberen Elektrode 112 angeordnet ist, und einen oder mehrere Peripheriebereich(e) 120 direkt unter dem einen oder den mehreren belastete(n) Seitenwandabstandshalter(n) 116. Der eine oder die mehreren Peripheriebereich(e) 120 umgeben den zentralen Bereich 118 seitlich. Da der eine oder die mehreren belastete(n) Seitenwandabstandshalter 116 direkt über dem einen oder den mehreren Peripheriebereich(en) 120 angeordnet ist bzw. sind, kann der Temperprozess 1902 die orthorhombische Phasenkonzentration (z.B. die polare orthorhombische Phasenkonzentration) innerhalb des zentralen Bereichs 118 der ferroelektrischen Datenspeicherschicht 1506 um einen ersten Betrag erhöhen und die orthorhombische Phasenkonzentration (z.B. die polare orthorhombische Phasenkonzentration) innerhalb des einen oder der mehreren Peripheriebereiche 120 um einen zweiten Betrag erhöhen, der größer ist als der erste Betrag. In manchen Ausführungsformen ist die orthorhombische Phasenkonzentration (z.B. die polare orthorhombische Phasenkonzentration) des zentralen Bereichs 118 der ferroelektrischen Datenspeicherschicht 1506 größer als die orthorhombische Phasenkonzentration (z.B. die polare orthorhombische Phasenkonzentration) des einen oder der mehreren Peripheriebereich(e) 120 vor Durchführung des Temperprozesses 1902. Nach Durchführung des Temperprozesses 1902 ist die orthorhombische Phasenkonzentration (z.B. die polare orthorhombische Phasenkonzentration) des zentralen Bereichs 118 kleiner als die orthorhombische Phasenkonzentration (z.B. die polare orthorhombische Phasenkonzentration) des einen oder der mehreren Peripheriebereich(e) 120.
  • In manchen Ausführungsformen kann der zentrale Bereich 118 der ferroelektrischen Datenspeicherschicht 1506 nach dem Temperprozess 1902 eine orthorhombische Phasenkonzentration von mehr als etwa 40% aufweisen und der eine oder die mehreren Peripheriebereich(e) 120 können eine orthorhombische Phasenkonzentration von mehr als etwa 75% aufweisen. In manchen Ausführungsformen kann ein Bereich der ferroelektrischen Datenspeicherschicht 1506, der zwischen äußeren Seitenwänden des einen oder der mehreren belastete(n) Seitenwandabstandshalter 116 liegt (z.B. äußere Seitenwände, die von der oberen Elektrode 112 abgewandt sind), eine orthorhombische Phasenkonzentration von weniger als 40% aufweisen. In manchen Ausführungsformen kann der Temperprozess 1902 die orthorhombische Phasenkonzentration der ferroelektrischen Datenspeicherschicht 1506 innerhalb des einen oder der mehreren Peripheriebereich(e) 120 um zwischen etwa 100% und etwa 1000%, um zwischen etwa 200% und etwa 500% oder um andere ähnliche Werte erhöhen. Der Temperprozess 1902 kann beispielsweise die orthorhombische Phasenkonzentration der ferroelektrischen Datenspeicherschicht 1506 innerhalb des einen oder der mehreren Peripheriebereich(e) 120 von 10,1% auf etwa 77% erhöhen. In manchen Ausführungsformen gibt der Temperprozess 1902 der ferroelektrischen Datenspeicherschicht 1506 eine vorwiegend orthorhombische Phase (z.B. eine vorwiegend polare orthorhombische Phase).
  • In manchen Ausführungsformen kann die ferroelektrische Datenspeicherschicht 1506, nachdem der Temperprozess 1902 durchgeführt wurde, eine höhere Konzentration einer polaren orthorhombischen Phase aufweisen als einer nicht-polaren orthorhombischen Phase. In manchen Ausführungsformen erhöht der Temperprozess 1902 eine polare orthorhombische Phasenkonzentration innerhalb des einen oder der mehreren Peripheriebereich(e) 120 um einen ersten Betrag, der größer ist als ein zweiter Betrag, um den eine nicht-polare orthorhombische Phasenkonzentration innerhalb des einen oder der mehreren Peripheriebereich(e) 120 erhöht wird.
  • In manchen Ausführungsformen kann der Temperprozess 1902 eine Variation in der orthorhombischen Phasenkonzentration zwischen dem zentralen Bereich 118 und dem einen oder den mehreren Peripheriebereich(en) 120 verringern, um der ferroelektrischen Datenspeicherschicht 1506 eine einheitlichere orthorhombische Phase zu geben. Vor dem Temperprozess 1902 kann der zentrale Bereich 118 beispielsweise eine orthorhombische Phasenkonzentration aufweisen, die etwa doppelt so hoch ist wie die des einen oder der mehreren Peripheriebereich(e) 120. Nach dem Temperprozess 1902 können der eine oder die mehreren Peripheriebereich(e) 120 eine orthorhombische Phasenkonzentration aufweisen, die in einem Bereich zwischen 1,5 und 1,9 mal größer ist als die des zentralen Bereichs 118.
  • Wie in der Querschnittsansicht 2000 in 20 gezeigt, wird ein zweiter Strukturierungsprozess zum Bilden einer ferroelektrischen Speichervorrichtung 104 (z.B. eine FeRAM-Vorrichtung), die eine ferroelektrische Datenspeicherstruktur 110 umfasst, die zwischen einer unteren Elektrode 108 und der oberen Elektrode 112 angeordnet ist, durchgeführt. Der zweite Strukturierungsprozess legt die ferroelektrische Datenspeicherschicht (1506 in 19) und die untere Elektrodenschicht (1504 in 19) gegenüber einem Ätzmittel 2002 zum Definieren der ferroelektrischen Datenspeicherstruktur 110 und der unteren Elektrode 108 selektiv frei. In manchen Ausführungsformen kann der zweite Strukturierungsprozess ferner die untere Isolationsstruktur 506 ätzen, damit die untere Isolationsstruktur 506 eine geringere Dicke seitlich außerhalb der unteren Elektrode 108 aufweist als direkt unter der unteren Elektrode 108.
  • Wie in der Querschnittsansicht 2100 in 21 gezeigt, wird über der ferroelektrischen Speichervorrichtung 104 eine Schutzstruktur 606 gebildet. In manchen Ausführungsformen kann die Schutzstruktur 606 unter Verwendung von einer oder mehreren Abscheidungstechniken (z.B. PVD, CVD, PE-CVD, ALD, Sputtern usw.) gebildet werden. In verschiedenen Ausführungsformen kann die Schutzstruktur 606 eines oder mehreres umfassen von Siliziumkarbid, TEOS und/oder dergleichen.
  • Über der Schutzstruktur 606 wird eine obere ILD-Schicht 106U gebildet. Die obere ILD-Schicht 106U wird derart gebildet, dass sie die ferroelektrische Speichervorrichtung 104 abdeckt. In manchen Ausführungsformen kann die obere ILD-Schicht 106U durch einen Abscheidungsprozess gebildet werden (z.B. PVD, CVD, PE-CVD, ALD oder dergleichen). In verschiedenen Ausführungsformen kann die obere ILD-Schicht 106U Siliziumdioxid, kohlenstoffdotiertes Siliziumdioxid, Siliziumoxynitrid, BSG, PSG, BPSG, FSG, ein poröses dielektrisches Material (z.B. poröses kohlenstoffdotiertes Siliziumdioxid) oder dergleichen umfassen.
  • Wie in Querschnittsansicht 2200 in 22 gezeigt, wird innerhalb der oberen ILD-Schicht 106U eine obere Zwischenverbindungsstruktur 114 gebildet. In manchen Ausführungsformen kann die obere Zwischenverbindungsstruktur 114 eine Zwischenverbindungsdurchkontaktierung 114a (z.B. eine obere Elektrodendurchkontaktierung (Top Elektrode Via bzw. TEVA) umfassen und/oder einen Zwischenverbindungsdraht 114b. In manchen Ausführungsformen kann die obere Zwischenverbindungsstruktur 114 durch selektives Ätzen der oberen ILD-Schicht 106U zum Definieren von Löchern und/oder Gräben innerhalb der oberen ILD-Schicht 106U, Ausbilden eines leitenden Materials (z.B. Kupfer, Aluminium usw.) innerhalb der Löcher und/oder Gräben und Durchführen eines Planarisierungsprozesses (z.B. eines chemisch-mechanischen Planarisierungsprozesses) gebildet werden.
  • 23-33 veranschaulichen Querschnittsansichten 2300-3300 einiger zusätzlicher Ausführungsformen eines Verfahrens zum Bilden eines integrierten Chips mit einer ferroelektrischen Speichervorrichtung mit einem oder mehreren belastete(n) Seitenwandabstandshalter(n). Obwohl 23-33 in Bezug auf ein Verfahren beschrieben sind, ist zu würdigen, dass die in 23-33 offenbarten Strukturen nicht auf solch ein Verfahren beschränkt sind, sondern stattdessen als vom Verfahren unabhängige Strukturen stehen.
  • Wie in der Querschnittsansicht 2300 in 23 gezeigt, wird ein Substrat 102 bereitgestellt. In verschiedenen Ausführungsformen kann das Substrat 102 eine beliebige Art von Halbleiterkörper (z.B. Silizium, SiGe, SOI usw.) sein, wie etwa ein Halbleiterwafer und/oder eine oder mehrere Die(s) auf einem Wafer, sowie jedwede andere Art von Halbleiter und/oder Epitaxieschichten, die ihm zugeordnet sind. In manchen Ausführungsformen kann eine Zugangsvorrichtung 502 innerhalb des Substrats 102 gebildet werden, wie in Bezug auf 11 beschrieben.
  • Wie in der Querschnittsansicht 2400 der 24 gezeigt, werden mehrere untere Zwischenverbindung(en) 504a-504b innerhalb einer unteren dielektrischen Struktur 106L gebildet, die ein oder mehrere dielektrische Zwischenschichten (Inter-Level-Dielectric-Layers; ILD) 106a-106b umfasst, die über dem Substrat 102 ausgebildet sind. In manchen Ausführungsformen können die eine oder die mehreren unteren ILD-Schichten 106a-106b eine erste untere ILD-Schicht 106a und eine zweite untere ILD-Schicht 106b, die durch eine Ätzstoppschicht 107 getrennt sind, umfassen. In manchen Ausführungsformen können die mehreren unteren Zwischenverbindung(en) 504a-504b einen leitenden Kontakt, einen Zwischenverbindungsdraht und/oder eine Zwischenverbindungsdurchkontaktierung umfassen.
  • Wie in der Querschnittsansicht 2500 der 25 gezeigt, wird über der unteren dielektrischen Struktur 106L eine untere Isolationsschicht 506 gebildet. In manchen Ausführungsformen kann die untere Isolationsstruktur 506 eines oder mehreres umfassen von einem Oxid, Siliziumnitrid, Siliziumkarbid, Siliziumoxynitrid, TEOS, einem Metalloxid, einem Metallnitrid, einem Metallkarbid oder dergleichen.
  • Wie in Querschnittsansicht 2600 in 26 gezeigt, wird die untere Isolationsstruktur 506 selektiv strukturiert, um mehrere Öffnungen 1402 zu definieren, die sich durch die untere Isolationsstruktur 506 erstrecken, um die mehreren unteren Zwischenverbindung(en) 504a-504b freizulegen. In manchen Ausführungsformen (nicht gezeigt) kann die untere Isolationsstruktur 506 durch Exposition der unteren Isolationsstruktur 506 gegenüber einem Ätzmittel in Übereinstimmung mit einer auf der unteren Isolationsstruktur 506 angeordneten Maske selektiv strukturiert werden.
  • Über der unteren Isolationsstruktur 506 und innerhalb der mehreren Öffnungen 1402 wird ein FeRAM-Stapel 2602 gebildet. In manchen Ausführungsformen kann der FeRAM-Stapel 2602 mehrere konform abgeschiedene Schichten umfassen. Der FeRAM-Stapel 2602 kann eine erste untere Elektrodenschicht 2604 umfassen, die über der unteren Isolationsstruktur 506 ausgebildet ist, eine zweite untere Elektrodenschicht 2606, die über der ersten unteren Elektrodenschicht 2604 ausgebildet ist, eine ferroelektrische Datenspeicherschicht 2608, die über der zweiten Elektrodenschicht 2606 ausgebildet ist, eine erste obere Elektrodenschicht 2610, die über der ferroelektrischen Datenspeicherschicht 2608 ausgebildet ist, und eine zweite obere Elektrodenschicht 2612, die über der ersten oberen Elektrodenschicht 2610 ausgebildet ist.
  • Wie in Querschnittsansicht 2700 in 27 gezeigt, wird über der FeRAM-Schicht 2602 eine Hartmaskenschicht 1602 gebildet. In manchen Ausführungsformen kann die Hartmaskenschicht 1602 wie in Bezug auf 16 beschrieben gebildet werden.
  • Wie in der Querschnittsansicht 2800 in 28 gezeigt, wird ein erster Strukturierungsprozess zum Definieren einer oberen Elektrode 112 und einer Hartmaske 510 durchgeführt. Der erste Strukturierungsprozess legt die Hartmaskenschicht (1602 in 27), die erste obere Elektrodenschicht (2610 in 26) und die zweite obere Elektrodenschicht (2612 in 26) einem Ätzmittel 2804 gegenüber in Übereinstimmung mit einer Maske 2802 (z.B. ein Photoresistmaterial, eine Hartmaske oder dergleichen) zum Bilden der oberen Elektrode 112 und der Hartmaske 510 selektiv frei. In manchen Ausführungsformen kann die obere Elektrode 112 ein erstes oberes Elektrodenmaterial 1006 und ein zweites oberes Elektrodenmaterial 1008 umfassen. In manchen Ausführungsformen kann die Hartmaske 510 eine Dicke aufweisen, die innerhalb eines zentralen Bereichs der Hartmaske 510 variiert und über einen Peripheriebereich, der den zentralen Bereich umgibt, im Wesentlichen konstant ist. Die Variationen der Dicke innerhalb des zentralen Bereichs sorgen dafür, dass die Hartmaske 510 an verschiedenen lateralen Positionen innerhalb des zentralen Bereichs, wie entlang des Querschnitts 2800 betrachtet, unterschiedliche Dicken aufweist.
  • Wie in Querschnittsansicht 2900 in 29 gezeigt, werden ein oder mehrere belastete(r) Seitenwandabstandshalter 116 entlang Seitenwänden der oberen Elektrode 112 und der Hartmaske 510 gebildet. In manchen Ausführungsformen kann der eine oder können die mehreren belastete(n) Seitenwandabstandshalter 116 ein oder mehrere Materialien umfassen und/oder wie in Bezug auf 18A-18D beschrieben ausgebildet sein.
  • Wie in Querschnittsansicht 3000 in 30 gezeigt, wird nach dem Bilden des einen oder der mehreren belastete(n) Seitenwandabstandshalter 116 ein Temperprozess 1902 durchgeführt. Aufgrund der Belastung des einen oder der mehreren belastete(n) Seitenwandabstandshalter 116, erhöht der Temperprozess 1902 eine orthorhombische Kristallphase (z.B. eine polare orthorhombische Kristallphase) innerhalb von Teilen der ferroelektrischen Datenspeicherschicht 1506, die direkt unter dem einen oder den mehreren belastete(n) Seitenwandabstandshalter(n) 116 liegen. In manchen Ausführungsformen erhöht der Temperprozess 1902 eine orthorhombische Kristallphase innerhalb der ferroelektrischen Datenspeicherschicht 2608, wie in Bezug auf 19 beschrieben.
  • Wie in der Querschnittsansicht 3100 in 31 gezeigt, wird ein zweiter Strukturierungsprozess zum Bilden einer ferroelektrischen Speichervorrichtung 104, die eine ferroelektrische Datenspeicherstruktur 110 umfasst, die zwischen einer unteren Elektrode 108 und der oberen Elektrode 112 angeordnet ist, durchgeführt. Der zweite Strukturierungsprozess legt die ferroelektrische Datenspeicherschicht (2608 in 30), die erste untere Elektrodenschicht (2604 in 30) und die zweite untere Elektrodenschicht (2606 in 30) einem Ätzmittel 3102 gegenüber zum Definieren der ferroelektrischen Datenspeicherstruktur 110 und der unteren Elektrode 108 selektiv frei. In manchen Ausführungsformen kann die untere Elektrode 108 ein erstes unteres Elektrodenmaterial 1002 und ein zweites unteres Elektrodenmaterial 1004 umfassen. In manchen Ausführungsformen kann der zweite Strukturierungsprozess ferner die untere Isolationsstruktur 506 ätzen, damit die untere Isolationsstruktur 506 eine geringere Dicke seitlich außerhalb der unteren Elektrode 108 aufweist als direkt unter der unteren Elektrode 108.
  • Wie in der Querschnittsansicht 3200 in 32 gezeigt, wird über der ferroelektrischen Speichervorrichtung 104 eine Schutzstruktur 606 gebildet. Die Schutzstruktur 606 hat Seitenwände und eine sich horizontal erstreckende Fläche, die eine Aussparung 1010 innerhalb einer oberen Fläche der Schutzstruktur 606 definiert und direkt über einer Bodenfläche der unteren Elektrode 108 liegt. Über der Schutzstruktur 606 wird eine obere ILD-Schicht 106U gebildet. Die obere ILD-Schicht 106U wird derart gebildet, dass sie die ferroelektrische Speichervorrichtung 104 abdeckt.
  • Wie in Querschnittsansicht 3300 in 33 gezeigt, wird in der oberen ILD-Schicht 106U eine obere Zwischenverbindungsstruktur 114 gebildet. In manchen Ausführungsformen kann die obere Zwischenverbindungsstruktur 114 eine Zwischenverbindungsdurchkontaktierung 114a (z.B. eine obere Elektrodendurchkontaktierung (Top Elektrode Via bzw. TEVA) umfassen und einen Zwischenverbindungsdraht 114b.
  • 34 veranschaulicht ein Flussdiagramm einiger Ausführungsformen eines Verfahrens 3400 zum Bilden eines integrierten Chips mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält, die dafür ausgelegt sind, eine orthorhombische Phasenkonzentration innerhalb einer ferroelektrischen Datenspeicherstruktur herbeizuführen.
  • Während Verfahren 3400 hierin als eine Serie von Akten oder Ereignissen veranschaulicht und beschrieben ist, ist zu würdigen, dass die veranschaulichte Reihenfolge solcher Akte oder Ereignisse nicht in einem einschränkenden Sinn zu interpretieren ist. Manche Akte können beispielsweise in anderen Reihenfolgen und/oder gleichzeitig mit anderen Akten oder Ereignissen, die nicht hierin veranschaulicht und/oder beschrieben sind, auftreten. Darüber hinaus müssen nicht alle veranschaulichten Akte erforderlich sein, um einen oder mehrere Aspekte oder Ausführungsformen der Beschreibung hierin zu implementieren. Ferner können ein oder mehrere der hierin dargestellten Akte in einem oder mehreren separaten Akten und/oder Phasen durchgeführt werden.
  • Im Akt 3402 wird eine Zugangsvorrichtung an und/oder in einem Substrat gebildet. 11 veranschaulicht eine Querschnittsansicht 1100 einiger Ausführungsformen, die Akt 3402 entsprechen. 23 veranschaulicht eine Querschnittsansicht 2300 einiger alternativer Ausführungsformen, die Akt 3402 entsprechen.
  • Im Akt 3404 werden eine oder mehrere untere Zwischenverbindung(en) innerhalb einer unteren dielektrischen Struktur über dem Substrat gebildet. 12 veranschaulicht eine Querschnittsansicht 1200 einiger Ausführungsformen, die Akt 3404 entsprechen. 24 veranschaulicht eine Querschnittsansicht 2400 einiger alternativer Ausführungsformen, die Akt 3404 entsprechen.
  • Im Akt 3406 wird eine untere Elektrode über der einen oder den mehreren Zwischenverbindung(en) gebildet. 15 veranschaulicht eine Querschnittsansicht 1500 einiger Ausführungsformen, die Akt 3406 entsprechen. 26 veranschaulicht eine Querschnittsansicht 2600 einiger alternativer Ausführungsformen, die Akt 3406 entsprechen.
  • Im Akt 3408 wird eine ferroelektrische Datenspeicherschicht über der unteren Elektrodenschicht gebildet. 15 veranschaulicht eine Querschnittsansicht 1500 einiger Ausführungsformen, die Akt 3408 entsprechen. 26 veranschaulicht eine Querschnittsansicht 2600 einiger alternativer Ausführungsformen, die Akt 3408 entsprechen.
  • Im Akt 3410 wird eine obere Elektrodenschicht über der ferroelektrischen Datenspeicherschicht gebildet. 15 veranschaulicht eine Querschnittsansicht 1500 einiger Ausführungsformen, die Akt 3410 entsprechen. 26 veranschaulicht eine Querschnittsansicht 2600 einiger alternativer Ausführungsformen, die Akt 3410 entsprechen.
  • Im Akt 3412 wird ein erster Strukturierungsprozess an der oberen Elektrodenschicht durchgeführt, um eine obere Elektrode zu bilden. 17 veranschaulicht eine Querschnittsansicht 1700 einiger Ausführungsformen, die Akt 3412 entsprechen. 28 veranschaulicht eine Querschnittsansicht 2800 einiger alternativer Ausführungsformen, die Akt 3412 entsprechen.
  • Im Akt 3414 wird bzw. werden ein oder mehrere belastete(r) Seitenwandabstandshalter entlang gegenüberliegender Seiten der oberen Elektrode gebildet. 18A veranschaulicht eine Querschnittsansicht 1800 einiger Ausführungsformen, die Akt 3414 entsprechen. 29 veranschaulicht eine Querschnittsansicht 2900 einiger alternativer Ausführungsformen, die Akt 3414 entsprechen.
  • Im Akt 3416 wird ein Temperprozess durchgeführt, um eine orthorhombische Phasenkonzentration (z.B. eine polare orthorhombische Phasenkonzentration) der ferroelektrischen Datenspeicherschicht unter einem oder mehreren belasteten Seitenwandabstandshalter(n) zu erhöhen. 19 veranschaulicht eine Querschnittsansicht 1900 einiger Ausführungsformen, die Akt 3416 entsprechen. 30 veranschaulicht eine Querschnittsansicht 3000 einiger alternativer Ausführungsformen, die Akt 3416 entsprechen.
  • Im Akt 3418 wird ein zweiter Strukturierungsprozess an der ferroelektrischen Datenspeicherschicht und der unteren Elektrodenschicht durchgeführt, um eine ferroelektrische Speichervorrichtung mit einer ferroelektrischen Datenspeicherstruktur, die zwischen einer unteren Elektrode und der oberen Elektrode angeordnet ist, zu definieren. 20 veranschaulicht eine Querschnittsansicht 2000 einiger Ausführungsformen, die Akt 3418 entsprechen. 31 veranschaulicht eine Querschnittsansicht 3100 einiger alternativer Ausführungsformen, die Akt 3418 entsprechen.
  • Im Akt 3420 wird eine obere Zwischenverbindung innerhalb einer oberen ILD-Struktur über der ferroelektrischen Speichervorrichtung gebildet. 22 veranschaulicht eine Querschnittsansicht 2000 einiger Ausführungsformen, die Akt 3420 entsprechen. 33 veranschaulicht eine Querschnittsansicht 3100 einiger alternativer Ausführungsformen, die Akt 3420 entsprechen.
  • Dementsprechend betrifft die vorliegende Offenbarung in manchen Ausführungsformen einen integrierten Chip mit einer ferroelektrischen Speichervorrichtung, die einen oder mehrere belastete(n) Seitenwandabstandshalter enthält, der bzw. dafür ausgelegt ist bzw. sind, eine orthorhombische Phasenkonzentration (z.B. eine polare orthorhombische Phase) innerhalb einer ferroelektrischen Datenspeicherstruktur zu erhöhen.
  • In manchen Ausführungsformen betrifft die vorliegende Offenbarung einen integrierten Chip. Der integrierte Chip umfasst eine untere Elektrode, die innerhalb einer dielektrischen Struktur über einem Substrat angeordnet ist; eine ferroelektrische Datenspeicherstruktur, die über der unteren Elektrode angeordnet ist; eine obere Elektrode, die über der ferroelektrischen Datenspeicherstruktur angeordnet ist; und einen oder mehrere belastete(n) Seitenwandabstandshalter, die auf gegenüberliegenden Seiten der oberen Elektrode angeordnet sind, wobei die ferroelektrische Datenspeicherstruktur eine orthorhombische Phasenkonzentration aufweist, die von direkt unter dem einen oder den mehreren belastete(n) Seitenwandabstandshalter(n) nach seitlich außerhalb des einen oder der mehreren belastete(n) Seitenwandabstandshalter(n) variiert. In manchen Ausführungsformen weisen der eine oder die mehreren belastete(n) Seitenwandabstandshalter eine Zugspannung auf, die in einem Bereich zwischen etwa 100 MPa (Megapascal) und etwa 900 MPa liegt. In manchen Ausführungsformen umfasst bzw. umfassen der eine oder die mehreren belastete(n) Seitenwandabstandshalter Siliziumnitrid oder Silizium-Kohlenstoffnitrid. In manchen Ausführungsformen weist die ferroelektrische Datenspeicherstruktur eine maximale orthorhombische Phase von mehr als 75% direkt unter dem einen oder den mehreren belastete(n) Seitenwandabstandshalter(n) auf. In manchen Ausführungsformen umfasst die ferroelektrische Datenspeicherstruktur eine polare orthorhombische Phase und eine nicht-polare orthorhombische Phase, wobei eine Konzentration der polaren orthorhombischen Phase größer ist als eine Konzentration der nicht-polaren orthorhombischen Phase direkt unter dem einen oder den mehreren belastete(n) Seitenwandabstandshalter(n). In manchen Ausführungsformen ist die orthorhombische Phasenkonzentration der ferroelektrischen Datenspeicherstruktur direkt unter dem einen oder den mehreren belastete(n) Seitenwandabstandshalter(n) größer als direkt unter der oberen Elektrode. In manchen Ausführungsformen ist der eine oder sind die mehreren belastete(n) Seitenwandabstandshalter über einem obersten Punkt der ferroelektrischen Datenspeicherstruktur angeordnet. In manchen Ausführungsformen erstrecken sich der eine oder die mehreren belastete(n) Seitenwandabstandshalter nach innerhalb einer oder mehrerer Aussparung(en) in einer oberen Fläche der ferroelektrischen Datenspeicherstruktur.
  • In anderen Ausführungsformen betrifft die vorliegende Offenbarung einen integrierten Chip. Der integrierte Chip umfasst eine oder mehrere untere Zwischenverbindung(en), die innerhalb einer dielektrischen Struktur über einem Substrat angeordnet ist bzw. sind; eine untere Elektrode, die über der einen oder den mehreren unteren Zwischenverbindung(en) angeordnet ist; eine ferroelektrische Datenspeicherstruktur, die an der unteren Elektrode angeordnet ist; eine obere Elektrode, die an der ferroelektrischen Datenspeicherstruktur angeordnet ist; einen oder mehrere belastete(n) Seitenwandabstandshalter, der bzw. die auf gegenüberliegenden Seiten der oberen Elektrode angeordnet sind, wobei der eine oder die mehreren belastete(n) Seitenwandabstandshalter eine Zugspannung aufweisen; und die ferroelektrische Datenspeicherstruktur mit einem zentralen Bereich direkt unter der oberen Elektrode und einem oder mehreren Peripheriebereich(en) direkt unter dem einen oder den mehreren Peripheriebereich(en), wobei der eine oder die mehreren Peripheriebereich(e) eine erste polare orthorhombische Phasenkonzentration aufweisen, die höher ist als eine zweite polare orthorhombische Phasenkonzentration innerhalb des zentralen Bereichs. In manchen Ausführungsformen ist die erste polare orthorhombische Phasenkonzentration mehr als 30% größer als die zweite polare orthorhombische Phasenkonzentration. In manchen Ausführungsformen ist die erste polare orthorhombische Phasenkonzentration innerhalb des einen oder der mehreren Peripheriebereich(e) höher als eine zweite nicht-polare orthorhombische Phasenkonzentration innerhalb des einen oder der mehreren Peripheriebereich(e). In manchen Ausführungsformen ist die erste polare orthorhombische Phasenkonzentration größer als etwa 75% und die zweite polare orthorhombische Phasenkonzentration ist größer als etwa 40%.
  • In noch anderen Ausführungsformen betrifft die vorliegende Offenbarung ein Verfahren zum Bilden eines integrierten Chips. Das Verfahren umfasst Bilden von einer oder mehreren unteren Zwischenverbindung(en) innerhalb einer unteren dielektrischen Struktur, die über einem Substrat ausgebildet ist; Bilden einer unteren Elektrodenschicht über der einen oder den mehreren unteren Zwischenverbindung(en); Bilden einer ferroelektrischen Datenspeicherschicht über der unteren Elektrodenschicht; Bilden einer oberen Elektrode über der ferroelektrischen Datenspeicherschicht; Bilden von einem oder mehreren belastete(n) Seitenwandabstandshalter(n) entlang gegenüberliegender Seiten der oberen Elektrode; und Durchführen eines Temperprozesses nach Bilden des einen oder der mehreren belastete(n) Seitenwandabstandshalter(n) zum Erhöhen einer orthorhombischen Phasenkonzentration innerhalb von Teilen der ferroelektrischen Datenspeicherschicht. In manchen Ausführungsformen umfasst das Verfahren ferner Strukturieren der unteren Elektrodenschicht und der ferroelektrischen Datenspeicherschicht nach Durchführen des Temperprozesses zum Bilden einer unteren Elektrode, die von der oberen Elektrode durch eine ferroelektrische Datenspeicherstruktur getrennt ist. In manchen Ausführungsformen erhöht der Temperprozess die orthorhombische Phasenkonzentration innerhalb der Teile der ferroelektrischen Datenspeicherschicht um zwischen etwa 100% und etwa 1000%. In manchen Ausführungsformen erhöht der Temperprozess eine polare orthorhombische Phasenkonzentration innerhalb der Teile der ferroelektrischen Datenspeicherschicht um einen ersten Betrag, der größer ist als ein zweiter Betrag, um den eine nicht-polare orthorhombische Phasenkonzentration innerhalb von Teilen der ferroelektrischen Datenspeicherschicht erhöht wird. In manchen Ausführungsformen erhöht der Temperprozess die orthorhombische Phasenkonzentration innerhalb eines zentralen Bereichs der ferroelektrischen Datenspeicherschicht um einen ersten Betrag und erhöht ferner die orthorhombische Phasenkonzentration innerhalb eines oder mehrerer Peripheriebereiche der ferroelektrischen Datenspeicherschicht um einen zweiten Betrag, der größer ist als der erste Betrag. In manchen Ausführungsformen ist die orthorhombische Phasenkonzentration innerhalb eines zentralen Bereichs der ferroelektrischen Datenspeicherschicht größer als die orthorhombische Phasenkonzentration innerhalb eines oder mehrerer Peripheriebereich(e) der ferroelektrischen Datenspeicherschicht vor Durchführung des Temperprozesses; und die orthorhombische Phasenkonzentration innerhalb des zentralen Bereichs der ferroelektrischen Datenspeicherschicht ist kleiner als die orthorhombische Phasenkonzentration innerhalb des einen oder der mehreren Peripheriebereich(e) der ferroelektrischen Datenspeicherschicht nach Durchführung des Temperprozesses. In manchen Ausführungsformen verfügt die ferroelektrische Datenspeicherschicht über einen zentralen Bereich, der seitlich von einem oder mehreren Peripheriebereich(en) umgeben ist, wobei die orthorhombische Phasenkonzentration des zentralen Bereichs kleiner ist als die orthorhombische Phasenkonzentration des einen oder der mehreren Peripheriebereiche nach Durchführung des Temperprozesses. In manchen Ausführungsformen verfügt die ferroelektrische Datenspeicherschicht über einen zentralen Bereich, der seitlich von einem oder mehreren Peripheriebereich(en) umgeben ist, wobei Durchführen des Temperprozesses eine Variation der orthorhombischen Phasenkonzentration zwischen dem zentralen Bereich und dem einen oder den mehreren Peripheriebereich(en) verringert.
  • Vorstehend wurde ein Überblick über die Merkmale mehrerer Ausführungsformen gegeben, so dass Fachleute besser die Aspekte der vorliegenden Offenbarung verstehen können. Fachleute werden zu würdigen wissen, dass sich die vorliegende Offenbarung ohne weiteres als Grundlage für den Entwurf oder die Modifikation anderer Prozesse und Strukturen zur Ausführung der gleichen Zwecke und/oder dem Erreichen der gleichen Vorteile der hier vorgestellten Ausführungsformen verwenden lassen. Fachleute sollten auch erkennen, dass solche gleichwertigen Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen, und dass sich diverse Veränderungen, Substitutionen und Änderungen daran vornehmen lassen, ohne dass vom Geist und Umfang der vorliegenden Offenbarung abgewichen werden würde.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/393347 [0001]
    • US 63/412969 [0001]

Claims (20)

  1. Integrierter Chip, umfassend: eine untere Elektrode, die innerhalb einer dielektrischen Struktur über einem Substrat angeordnet ist; eine ferroelektrische Datenspeicherstruktur, die über der unteren Elektrode angeordnet ist; eine obere Elektrode, die über der ferroelektrischen Datenspeicherstruktur angeordnet ist; und einen oder mehrere belastete(n) Seitenwandabstandshalter, die auf gegenüberliegenden Seiten der oberen Elektrode angeordnet sind, wobei die ferroelektrische Datenspeicherstruktur eine orthorhombische Phasenkonzentration aufweist, die von direkt unter dem einen oder den mehreren belastete(n) Seitenwandabstandshalter nach seitlich außerhalb des einen oder der mehreren belastete(n) Seitenwandabstandshalter variiert.
  2. Integrierter Chip nach Anspruch 1, wobei der eine oder die mehreren belastete(n) Seitenwandabstandshalter eine Zugspannung aufweist bzw. aufweisen, die in einem Bereich von zwischen etwa 100 MPa (Megapascal) und etwa 900 MPa liegt.
  3. Integrierter Chip nach Anspruch 1 oder 2, wobei der eine oder die mehreren belastete(n) Seitenwandabstandshalter Siliziumnitrid oder Silizium-Kohlenstoffnitrid umfasst bzw. umfassen.
  4. Integrierter Chip nach einem der vorstehenden Ansprüche, wobei die ferroelektrische Datenspeicherstruktur eine maximale orthorhombische Phase größer als 75% direkt unter dem einen oder den mehreren belastete(n) Seitenwandabstandshalter(n) aufweist.
  5. Integrierter Chip nach einem der vorstehenden Ansprüche, wobei die ferroelektrische Datenspeicherstruktur eine polare orthorhombische Phase und eine nicht-polare orthorhombische Phase umfasst, wobei eine Konzentration der polaren orthorhombischen Phase größer ist als eine Konzentration der nicht-polaren orthorhombischen Phase direkt unter dem einen oder den mehreren belastete(n) Seitenwandabstandshalter(n).
  6. Integrierter Chip nach einem der vorstehenden Ansprüche, wobei die orthorhombische Phasenkonzentration der ferroelektrischen Datenspeicherstruktur direkt unter dem einen oder den mehreren belastete(n) Seitenwandabstandshalter(n) größer ist als direkt unter der oberen Elektrode.
  7. Integrierter Chip nach einem der vorstehenden Ansprüche, wobei der eine oder die mehreren belastete(n) Seitenwandabstandshalter über einem obersten Punkt der ferroelektrischen Datenspeicherstruktur angeordnet ist bzw. sind
  8. Integrierter Chip nach einem der vorstehenden Ansprüche, wobei sich der eine oder die mehreren belastete(n) Seitenwandabstandshalter nach innerhalb einer oder mehrerer Aussparung(en) in einer oberen Fläche der ferroelektrischen Datenspeicherstruktur erstreckt bzw. erstrecken.
  9. Integrierter Chip, umfassend: eine oder mehrere untere Zwischenverbindung(en), die innerhalb einer dielektrischen Struktur über einem Substrat angeordnet ist bzw. sind; eine untere Elektrode, die über der einen oder den mehreren unteren Zwischenverbindung(en) angeordnet ist; eine ferroelektrische Datenspeicherstruktur, die an der unteren Elektrode angeordnet ist; eine obere Elektrode, die an der ferroelektrischen Datenspeicherstruktur angeordnet ist; einen oder mehrere belastete(n) Seitenwandabstandshalter, der bzw. die an gegenüberliegenden Seiten der oberen Elektrode angeordnet ist bzw. sind, wobei der eine oder die mehreren belastete(n) Seitenwandabstandshalter eine Zugkraft aufweisen; und wobei die ferroelektrische Datenspeicherstruktur einen zentralen Bereich direkt unter der oberen Elektrode und einen oder mehrere Peripheriebereich(e) direkt unter dem einen oder den mehreren belastete(n) Seitenwandabstandshalter(n) aufweist, wobei der eine oder die mehreren Peripheriebereich(e) eine erste polare orthorhombische Phasenkonzentration aufweist bzw. aufweisen, die höher ist als eine zweite polare orthorhombische Phasenkonzentration innerhalb des zentralen Bereichs.
  10. Integrierter Chip nach Anspruch 9, wobei die erste polare orthorhombische Phasenkonzentration mehr als 30% größer ist als die zweite polare orthorhombische Phasenkonzentration.
  11. Integrierter Chip nach Anspruch 9, wobei die erste polare orthorhombische Phasenkonzentration innerhalb des einen oder der mehreren Peripheriebereich(e) höher ist als eine zweite nicht-polare orthorhombische Phasenkonzentration innerhalb des einen oder der mehreren Peripheriebereich(e).
  12. Integrierter Chip nach Anspruch 9, wobei die erste polare orthorhombische Phasenkonzentration größer ist als etwa 75% und die zweite polare orthorhombische Phasenkonzentration größer ist als etwa 40%.
  13. Verfahren zum Bilden eines integrierten Chips (IC), umfassend: Bilden von einer oder mehreren unteren Zwischenverbindung(en) innerhalb einer unteren dielektrischen Struktur, die über einem Substrat ausgebildet ist; Bilden einer unteren Elektrode über der einen oder den mehreren unteren Zwischenverbindung(en); Bilden einer ferroelektrischen Datenspeicherschicht über der unteren Elektrodenschicht; Bilden einer oberen Elektrode über der ferroelektrischen Datenspeicherschicht; Bilden von einem oder mehreren belastete(n) Seitenwandabstandshalter(n) entlang gegenüberliegender Seiten der oberen Elektrode; und Durchführen eines Temperprozesses nach Bilden des einen oder der mehreren belastete(n) Seitenwandabstandshalter zum Erhöhen einer orthorhombischen Phasenkonzentration innerhalb von Teilen der ferroelektrischen Datenspeicherschicht.
  14. Verfahren nach Anspruch 13, ferner umfassend: Strukturieren der unteren Elektrodenschicht und der ferroelektrischen Datenspeicherschicht nach Durchführen des Temperprozesses zum Bilden einer unteren Elektrode, die von der oberen Elektrode durch eine ferroelektrische Datenspeicherstruktur getrennt ist.
  15. Verfahren nach Anspruch 13 oder 14, wobei der Temperprozess die orthorhombische Phasenkonzentration innerhalb der Teile der ferroelektrischen Datenspeicherschicht um zwischen etwa 100% und etwa 1000% erhöht.
  16. Verfahren nach einem der Ansprüche 13 bis 15, wobei der Temperprozess eine polare orthorhombische Phasenkonzentration innerhalb der Teile der ferroelektrischen Datenspeicherschicht um einen ersten Betrag erhöht, der größer ist als ein zweiter Betrag, um den eine nicht-polare orthorhombische Phasenkonzentration innerhalb von Teilen der ferroelektrischen Datenspeicherschicht erhöht wird.
  17. Verfahren nach einem der Ansprüche 13 bis 15, wobei der Temperprozess die orthorhombische Phasenkonzentration innerhalb eines zentralen Bereichs der ferroelektrischen Datenspeicherschicht um einen ersten Betrag erhöht und ferner die orthorhombische Phasenkonzentration innerhalb eines oder mehrerer Peripheriebereich(e) der ferroelektrischen Datenspeicherschicht um einen zweiten Betrag erhöht, der größer ist als der erste Betrag.
  18. Verfahren nach einem der Ansprüche 13 bis 15, wobei die orthorhombische Phasenkonzentration innerhalb eines zentralen Bereichs der ferroelektrischen Datenspeicherschicht größer ist als die orthorhombische Phasenkonzentration innerhalb von einem oder mehreren Peripheriebereich(en) der ferroelektrischen Datenspeicherschicht vor dem Durchführen des Temperprozesses; und wobei die orthorhombische Phasenkonzentration innerhalb des zentralen Bereichs der ferroelektrischen Datenspeicherschicht kleiner ist als die orthorhombische Phasenkonzentration innerhalb von dem einem oder den mehreren Peripheriebereich(en) der ferroelektrischen Datenspeicherschicht nach dem Durchführen des Temperprozesses.
  19. Verfahren nach einem der Ansprüche 13 bis 15, wobei die ferroelektrische Datenspeicherschicht einen zentralen Bereich aufweist, der seitlich von einem oder mehreren Peripheriebereich(en) umgeben ist, wobei die orthorhombische Phasenkonzentration des zentralen Bereichs kleiner ist als die orthorhombische Phasenkonzentration des einen oder der mehreren Peripheriebereich(e) nach Durchführung des Temperprozesses.
  20. Verfahren nach einem der Ansprüche 13 bis 15, wobei die ferroelektrische Datenspeicherschicht einen zentralen Bereich aufweist, der seitlich von einem oder mehreren Peripheriebereich(en) umgeben ist, wobei Durchführen des Temperprozesses eine Variation in der orthorhombischen Phasenkonzentration zwischen dem zentralen Bereich und dem einen oder den mehreren Peripheriebereich(en) verringert.
DE102023107823.2A 2022-07-29 2023-03-28 Abstandshalterfolienschema für polarisationsverbesserung Pending DE102023107823A1 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202263393347P 2022-07-29 2022-07-29
US63/393,347 2022-07-29
US202263412969P 2022-10-04 2022-10-04
US63/412,969 2022-10-04
US18/150,281 US20240038265A1 (en) 2022-07-29 2023-01-05 Spacer film scheme form polarization improvement
US18/150,281 2023-01-05

Publications (1)

Publication Number Publication Date
DE102023107823A1 true DE102023107823A1 (de) 2024-02-15

Family

ID=89664759

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102023107823.2A Pending DE102023107823A1 (de) 2022-07-29 2023-03-28 Abstandshalterfolienschema für polarisationsverbesserung

Country Status (4)

Country Link
US (1) US20240038265A1 (de)
KR (1) KR20240016914A (de)
DE (1) DE102023107823A1 (de)
TW (1) TW202406126A (de)

Also Published As

Publication number Publication date
KR20240016914A (ko) 2024-02-06
US20240038265A1 (en) 2024-02-01
TW202406126A (zh) 2024-02-01

Similar Documents

Publication Publication Date Title
DE102015213529B4 (de) Vorrichtung mit einer Floating-Gate-Elektrode und einer Schicht aus ferroelektrischem Material und Verfahren zu ihrer Herstellung
DE102018122648B4 (de) Speichervorrichtungen und Verfahren zum Herstellen derselben
DE102017115340B4 (de) Halbleiterbauelement mit Speicher- und Logikschaltkreisen und Herstellungsverfahren dafür
KR20080061250A (ko) 반도체 집적회로장치
DE102019107906A1 (de) Untere Elektrodenstruktur in Speichervorrichtungen
DE102007033017A1 (de) Integrierte Schaltkreise, Verfahren zum Herstellen eines integrierten Schaltkreises, Speichermodule, Computersysteme
DE102015017252B3 (de) Halbleiterstruktur, die Kondensatoren mit verschiedenen Kondensatordielektrika umfasst, und Verfahren zu ihrer Herstellung
US11729993B2 (en) Ferroelectric random access memory (FRAM) capacitors and methods of construction
DE102007000677A1 (de) Halbleiteranordnungen und Verfahren zur Herstellung derselben
DE102018110185A1 (de) Speichervorrichtung und Herstellung dergleichen
DE102020112783A1 (de) Nichtflüchtige speicheranordnung und herstellungstechnologie
US11849589B2 (en) Semiconductor structure and manufacturing method thereof
DE102022100084A1 (de) Zugangstransistor mit einer metalloxidsperrschicht und verfahren zu dessen herstellung
DE19947053C1 (de) Grabenkondensator zu Ladungsspeicherung und Verfahren zu seiner Herstellung
DE102020133522A1 (de) Speicherarray-source-/drain-elektrodenstrukturen
DE112016003929B9 (de) Verfahren zum Herstellen eines ferroelektrischen Direktzugriffsspeichers auf vorstrukturierter Bodenelektrode und Oxidationsbarriere
DE102022100335A1 (de) Dünnschichttransistor mit einer wasserstoff-blockierenden dielektrischen sperrschicht und verfahren zu dessen herstellung
US20240113224A1 (en) Integrated Transistors Having Gate Material Passing Through a Pillar of Semiconductor Material, and Methods of Forming Integrated Transistors
DE102021115692A1 (de) Grenzflächenstruktur einer unteren elektrode für einen speicher
US20230369107A1 (en) Cavity in metal interconnect structure
DE102021119950A1 (de) Ferroelektrische speichervorrichtung und verfahren zu deren herstellung
DE102007031877B4 (de) Integrierter Schaltkreis mit einer Zellenanordnung und mit einer Halbleiter-Finnenstruktur sowie Verfahren zu deren Herstellung und Speichermodul
DE102021101243A1 (de) Speicherblock-kanalregionen
DE102021102536A1 (de) Dreidimensionales Speicherbauelement und Verfahren
DE102004003084B3 (de) Halbleiterspeicherzelle sowie zugehöriges Herstellungsverfahren

Legal Events

Date Code Title Description
R012 Request for examination validly filed