DE102021109161A1 - Photonische halbleitervorrichtung und herstellungsverfahren - Google Patents

Photonische halbleitervorrichtung und herstellungsverfahren Download PDF

Info

Publication number
DE102021109161A1
DE102021109161A1 DE102021109161.6A DE102021109161A DE102021109161A1 DE 102021109161 A1 DE102021109161 A1 DE 102021109161A1 DE 102021109161 A DE102021109161 A DE 102021109161A DE 102021109161 A1 DE102021109161 A1 DE 102021109161A1
Authority
DE
Germany
Prior art keywords
waveguide
photonic
substrate
layer
redistribution structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102021109161.6A
Other languages
English (en)
Inventor
Hsing-Kuo Hsia
Chen-Hua Yu
Kuo-Chiang Ting
Shang-Yun Hou
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102021109161A1 publication Critical patent/DE102021109161A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12002Three-dimensional structures
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12004Combinations of two or more optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • G02B6/1223Basic optical elements, e.g. light-guiding paths high refractive index type, i.e. high-contrast waveguides
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • G02B6/124Geodesic lenses or integrated gratings
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/136Integrated optical circuits characterised by the manufacturing method by etching
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/26Optical coupling means
    • G02B6/30Optical coupling means for use between fibre and thin-film device
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4219Mechanical fixtures for holding or positioning the elements relative to each other in the couplings; Alignment methods for the elements, e.g. measuring or observing methods especially used therefor
    • G02B6/4236Fixing or mounting methods of the aligned elements
    • G02B6/424Mounting of the optical light guide
    • G02B6/4242Mounting of the optical light guide to the lid of the package
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • H01L25/167Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits comprising optoelectronic devices, e.g. LED, photodiodes
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12035Materials
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12035Materials
    • G02B2006/12061Silicon
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12107Grating
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12133Functions
    • G02B2006/12147Coupler
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68359Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during manufacture of interconnect decals or build up layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Optics & Photonics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Optical Integrated Circuits (AREA)
  • Optical Couplings Of Light Guides (AREA)

Abstract

Ein Verfahren umfasst das Bilden eines ersten photonischen Packages, wobei das Bilden des ersten photonischen Packages das Strukturieren einer Siliziumschicht umfasst, um einen ersten Wellenleiter zu bilden, wobei sich die Siliziumschicht auf einer Oxidschicht befindet und wobei sich die Oxidschicht auf einem Substrat befindet; das Bilden von Durchkontaktierungen, die sich in das Substrat erstrecken; das Bilden einer ersten Umverteilungsstruktur über dem ersten Wellenleiter und den Durchkontaktierungen, wobei die erste Umverteilungsstruktur mit den Durchkontaktierungen elektrisch verbunden ist; das Verbinden einer ersten Halbleitervorrichtung mit der ersten Umverteilungsstruktur; das Entfernen eines ersten Abschnitts des Substrats, um einen ersten Ausschnitt zu bilden, wobei der erste Ausschnitt die Oxidschicht freilegt; und das Füllen des ersten Ausschnitts mit einem ersten Dielektrikum, um eine erste Dielektrikumsregion zu bilden.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der vorläufigen US-Patentanmeldung 63/037,061 , eingereicht am 10. Juni 2020, und der vorläufigen US-Patentanmeldung 63/061,363 , eingereicht am 5. August 2020, die hiermit durch Bezugnahme vollumfänglich hierin aufgenommen werden.
  • HINTERGRUND
  • Elektrische Signalisierung und Verarbeitung sind eine Technik für die Signalübertragung und -verarbeitung. Optische Signalisierung und Verarbeitung wurden in den letzten Jahren in immer mehr Anwendungen verwendet, insbesondere aufgrund der Verwendung von Lichtwellenleiteranwendungen für die Signalübertragung.
  • Optische Signalisierung und Verarbeitung sind üblicherweise mit elektrischer Signalisierung und Verarbeitung kombiniert, um vollständige Anwendungen bereitzustellen. Beispielsweise können Lichtwellenleiter für Langstreckensignalübertragung und elektrische Signale für Kurzstreckensignalübertragung sowie Verarbeitung und Steuerung verwendet werden. Dementsprechend werden Vorrichtungen, die optische Komponenten und elektrische Komponenten integrieren, für die Umwandlung zwischen optischen Signalen und elektrischen Signalen sowie für die Verarbeitung optischer Signale und elektrischer Signale gebildet. Packages können daher optische (photonische) Dies mit optischen Vorrichtungen und elektrische Dies mit elektronischen Vorrichtungen umfassen.
  • Figurenliste
  • Aspekte dieser Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beiliegenden Figuren verstehen. Es ist zu beachten, dass nach der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Erläuterung willkürlich vergrößert oder verkleinert sein.
    • 1 bis 14 illustrieren Querschnittsansichten der Zwischenschritte des Bildens eines photonischen Packages nach einigen Ausführungsformen.
    • 15 illustriert eine Querschnittsansicht eines photonischen Systems nach einigen Ausführungsformen.
    • 16 illustriert eine Querschnittsansicht eines Zwischenschritts des Bildens eines photonischen Packages nach einigen Ausführungsformen.
    • 17 illustriert eine Querschnittsansicht eines photonischen Systems nach einigen Ausführungsformen.
    • 18 bis 23 illustrieren Querschnittsansichten der Zwischenschritte des Bildens eines photonischen Packages nach einigen Ausführungsformen.
    • 24 illustriert eine Querschnittsansicht eines photonischen Systems nach einigen Ausführungsformen.
    • 25 illustriert eine Querschnittsansicht eines Zwischenschritts des Bildens eines photonischen Packages nach einigen Ausführungsformen.
    • 26 illustriert eine Querschnittsansicht eines photonischen Systems nach einigen Ausführungsformen.
    • 27 bis 42 illustrieren Querschnittsansichten der Zwischenschritte des Bildens eines photonischen Packages nach einigen Ausführungsformen.
    • 43 illustriert eine Querschnittsansicht eines photonischen Systems nach einigen Ausführungsformen.
    • 44 illustriert eine Querschnittsansicht einer photonischen Struktur nach einigen Ausführungsformen.
    • 45 bis 50 illustrieren Querschnittsansichten von Zwischenschritten des Bildens eines photonischen Systems nach einigen Ausführungsformen.
    • 51 illustriert eine Querschnittsansicht eines photonischen Systems nach einigen Ausführungsformen.
    • 52 bis 55 illustrieren Querschnittsansichten von Zwischenschritten des Bildens eines photonischen Systems nach einigen Ausführungsformen.
    • 56 illustriert eine Querschnittsansicht eines photonischen Packages nach einigen Ausführungsformen.
    • 57 bis 63 illustrieren Querschnittsansichten von Zwischenschritten des Bildens eines photonischen Systems nach einigen Ausführungsformen.
    • 64 illustriert eine Querschnittsansicht eines photonischen Systems nach einigen Ausführungsformen.
    • 65 bis 67 illustrieren Querschnittsansichten von Zwischenschritten des Bildens einer Wellenleiterstruktur nach einigen Ausführungsformen.
    • 68 bis 74 illustrieren Querschnittsansichten von Zwischenschritten des Bildens eines photonischen Systems nach einigen Ausführungsformen.
    • 75 illustriert eine Querschnittsansicht eines photonischen Systems nach einigen Ausführungsformen.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung stellt viele verschiedene Ausführungsformen oder Beispiele zur Umsetzung verschiedener Merkmale der Erfindung bereit. Spezifische Beispiele von Komponenten und Anordnungen sind nachfolgend beschrieben, um diese Offenbarung zu vereinfachen. Diese sind natürlich nur Beispiele, die nicht als einschränkend zu verstehen sind. Beispielsweise kann das Bilden eines ersten Elements oder eines zweiten Elements in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt gebildet sind, und es kann außerdem Ausführungsformen umfassen, in denen weitere Elemente zwischen dem ersten und dem zweiten Element gebildet sein können, sodass das erste und das zweite Element nicht in direktem Kontakt stehen müssen. Ferner können Bezugszeichen in den verschiedenen Beispielen dieser Offenbarung wiederholt werden. Diese Wiederholung dient der Einfachheit und Klarheit und schreibt nicht grundsätzlich eine Beziehung zwischen den verschiedenen besprochenen Ausführungsformen und/oder Konfigurationen vor.
  • Ferner können räumlich relative Begriffe wie „unter“, „unterhalb“, „unten“, „über“, „auf“, „oberhalb“, „oben“ und dergleichen hierin für eine einfachere Beschreibung verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem oder mehreren anderen Element(en) oder Merkmal(en) wie in den Figuren illustriert zu beschreiben. Die räumlich relativen Begriffe sollen zusätzlich zu der Ausrichtung, die in den Figuren dargestellt ist, verschiedene Ausrichtungen der Vorrichtung in der Verwendung oder im Betrieb umfassen. Die Vorrichtung kann anderweitig ausgerichtet sein (um 90 Grad gedreht oder in einer anderen Ausrichtung) und die räumlich relativen Bezeichnungen, die hierin verwendet werden, können ebenfalls entsprechend ausgelegt werden.
  • In dieser Offenbarung werden verschiedene Aspekte eins Packages und die Bildung davon beschrieben. Dreidimensionale Packages (3D-Packages) mit optischen Vorrichtungen und elektrischen Vorrichtungen und das Verfahren des Bildens derselben werden nach einigen Ausführungsformen bereitgestellt. Insbesondere werden photonische Package mit Wellenleitern auf einem Substrat gebildet, wobei ein Abschnitt des Substrats durch ein Dielektrikum wie Siliziumoxid ersetzt wird. Das Ersetzen des Abschnitts des Substrats durch das Dielektrikum kann in einigen Ausführungsformen den Verlust von mit dem Wellenleiter gekoppelten an der Kante montierten Lichtwellenleitern verringern. In einigen Ausführungsformen ermöglicht das Dielektrikum die optische Kommunikation mit in den Wellenleitern gebildeten Gitterkopplern. Das Ersetzen eines Abschnitts des Substrats durch ein Dielektrikum kann auch thermische Vorteile bringen. In einigen Ausführungsformen kann ein photonisches System mehrere photonische Packages umfassen, die an einer photonischen Interconnect-Struktur befestigt sind, wobei die photonischen Packages optisch an einen Satz von Wellenleitern gekoppelt sind, die in der photonischen Interconnect-Struktur gebildet sind. So können die photonischen Packages über optische Signale kommunizieren, die von den Wellenleitern der photonischen Interconnect-Struktur übertragen werden. Die Verwendung von photonischen Packages und optischer Kommunikation auf diese Weise kann den optischen Verlust verringern, die Effizienz erhöhen und die Hochgeschwindigkeitskommunikation eines photonischen Systems verbessern. Die Zwischenstufen des Bildens der Packages sind nach einigen Ausführungsformen illustriert. Einige Variationen einiger Ausführungsformen sind besprochen. In den verschiedenen Ansichten und illustrativen Ausführungsformen sind gleiche Bezugszeichen verwendet, um gleiche Elemente zu bezeichnen.
  • 1 bis 14 zeigen Querschnittsansichten von Zwischenschritten bei der Bildung eines photonischen Packages 100 (siehe 14) nach einigen Ausführungsformen. In einigen Ausführungsformen wirkt das photonische Package 100 als Eingabe-/Ausgabeschnittstelle (E/A-Schnittstelle) zwischen optischen Signalen und elektrischen Signalen in einem photonischen System. Beispielsweise können ein oder mehrere photonische Packages 100 in einem photonischen System wie dem photonischen System 200 (siehe 15), dergleichen oder einem anderen photonischen System verwendet werden.
  • Zuerst wird mit Blick auf 1 ein verdecktes Oxidsubstrat („BOX“-Substrat) 102 nach einigen Ausführungsformen bereitgestellt. Das BOX-Substrat 102 umfasst eine Oxidschicht 102B, die über einem Substrat 102C, gebildet und eine Siliziumschicht 102A, die über der Oxidschicht 102B gebildet ist. Das Substrat 102C kann beispielsweise ein Material wie Glas, Keramik, Dielektrikum ein Halbleiter, dergleichen oder eine Kombination daraus sein. In einigen Ausführungsformen kann das Substrat 102C ein Halbleitersubstrat sein, wie etwa ein Bulkhalbleiter oder dergleichen, der dotiert (z. B. mit einem p- oder einem n-Dotiermittel) oder undotiert sein kann. Das Substrat 102C kann ein Wafer sein, wie etwa ein Siliziumwafer (z. B. ein 12-Zoll-Siliziumwafer). Andere Substrate, wie etwa ein mehrschichtiges oder Gefällesubstrat, können ebenfalls verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats 102C Silizium; Germanium; einen Verbindungshalbleiter, der Siliziumkarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid umfasst; einen Legierungshalbleiter, der SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP umfasst; oder Kombinationen daraus umfassen. Die Oxidschicht 102B kann beispielsweise ein Siliziumoxid oder dergleichen sein. In einigen Ausführungsformen kann die Oxidschicht 102B eine Dicke von ca. 0,5 µm und in einigen Ausführungsformen von ca. 4 µm aufweisen. Die Siliziumschicht 102A kann in einigen Ausführungsformen eine Dicke zwischen ca. 0,1 µm und ca. 1,5 µm liegt. Das BOX-Substrat 102 kann als eine Vorderseite oder Vorderfläche (z. B. die in 1 nach oben weisende Seite), und eine Rückseite oder Rückfläche (z. B. die in 1 nach unten weisende Seite) aufweisend bezeichnet werden.
  • In 2 ist die Siliziumschicht 102A strukturiert, um nach einigen Ausführungsformen Siliziumregionen für Wellenleiter 104, photonische Komponenten 106 und/oder Koppler 107 zu bilden. Die Siliziumschicht 102A kann unter Verwendung geeigneter Fotolithografie- und Ätztechniken strukturiert werden. Beispielsweise kann in einigen Ausführungsformen eine Hartmaskenschicht (z. B. eine Nitridschicht oder ein anderes Dielektrikum, in 2 nicht gezeigt) über der Siliziumschicht 102A gebildet und strukturiert werden. Die Struktur der Hartmaskenschicht kann dann unter Verwendung einer oder mehrerer Ätztechniken wie etwa Trockenätz- und/oder Nassätztechniken auf die Siliziumschicht 102A übertragen werden. Beispielsweise kann die Siliziumschicht 102A geätzt werden, um Ausschnitte zu bilden, die die Wellenleiter 104 definieren, mit Seitenwänden der verbleibenden nicht ausgeschnittenen Abschnitte, die Seitenwände der Wellenleiter 104 definieren. In einigen Ausführungsformen können mehr als eine Fotolithografie- und Ätzsequenz verwendet werden, um die Siliziumschicht 102A zu strukturieren. Ein Wellenleiter 104 oder mehrere Wellenleiter 104 können von der Siliziumschicht 102A strukturiert werden. Wenn mehrere Wellenleiter 104 gebildet werden, können die mehreren Wellenleiter 104 einzelne separate Wellenleiter 104 sein oder als eine einzige fortlaufende Struktur verbunden sein. In einigen Ausführungsformen bilden ein oder mehrere der Wellenleiter 104 eine fortlaufende Schleife. Andere Konfigurationen oder Anordnungen der Wellenleiter 104, der photonischen Komponenten 106 oder der Koppler 107 sind möglich. In einigen Fällen können die Wellenleiter 104, die photonischen Komponenten 106 und die Koppler 107 gemeinsam als „die photonische Schicht“ bezeichnet werden
  • Die photonischen Komponenten 106 können mit den Wellenleitern 104 integriert und mit den Siliziumwellenleitern 104 gebildet sein. Die photonischen Komponenten 106 können optisch mit den Wellenleitern 104 gekoppelt sein, um mit optischen Signalen innerhalb der Wellenleiter 104 zu interagieren. Die photonischen Komponenten 106 können beispielsweise Fotodetektoren und/oder Modulatoren umfassen. Beispielsweise kann ein Fotodetektor optisch mit den Wellenleitern 104 gekoppelt werden, um optische Signale innerhalb der Wellenleiter 104 zu erkennen und elektrische Signale zu erzeugen, die den optischen Signalen entsprechen. Ein Modulator kann optisch mit den Wellenleitern 104 gekoppelt sein, um elektrische Signale zu empfangen und entsprechende optische Signale in den Wellenleitern 104 zu erzeugen, indem die optische Leistung in den Wellenleitern 104 moduliert wird. So erleichtern die photonischen Komponenten 106 die Ein-/Ausgabe (E/A) von optischen Signalen in und aus den Wellenleitern 104. In anderen Ausführungsformen können die photonischen Komponenten andere aktive oder passive Komponenten umfassen, wie etwa Laserdioden, optische Signalsplitter oder andere Arten photonischer Strukturen oder Vorrichtungen. Die optische Leistung kann den Wellenleitern 104 beispielsweise durch einen Lichtwellenleiter 150 (siehe 16A bis B) zugeführt werden, die an eine externe Lichtquelle gekoppelt ist, oder die optische Leistung kann durch eine photonische Komponente innerhalb des photonischen Packages 100 erzeugt werden, wie etwa eine Laserdiode (in den Figuren nicht gezeigt).
  • In einigen Ausführungsformen können die Fotodetektoren beispielsweise durch teilweises Ätzen von Regionen der Wellenleiter 104 und Aufbauen eines epitaktischen Materials auf dem verbleibenden Silizium der geätzten Regionen gebildet sein. Die Wellenleiter 104 können unter Verwendung akzeptabler Fotolithografie und Ätztechniken geätzt werden. Das epitaktische Material kann beispielsweise ein Halbleitermaterial wie Germanium (Ge) umfassen, das dotiert oder undotiert sein kann. In einigen Ausführungsformen kann ein Implantationsprozess ausgeführt werden, um Dotiermittel in das Silizium der geätzten Regionen als Abschnitt der Bildung der Fotodetektoren einzuführen. Das Silizium der geätzten Regionen kann mit p-Dotiermitteln, n-Dotiermitteln oder einer Kombination dotiert sein. In einigen Ausführungsformen können die Modulatoren beispielsweise durch teilweises Ätzen von Regionen der Wellenleiter 104 und anschließendes Implantieren geeigneter Dotiermittel in das verbleibende Silizium der geätzten Regionen gebildet sein. Die Wellenleiter 104 können unter Verwendung akzeptabler Fotolithografie und Ätztechniken geätzt werden. In einigen Ausführungsformen können die geätzten Regionen, die für die Fotodetektoren verwendet werden, und die geätzten Regionen, die für die Modulatoren verwendet werden, mit einem oder mehreren der gleichen Fotolithografie- oder Ätzschritte gebildet sein. Das Silizium der geätzten Regionen kann mit p-Dotiermitteln, n-Dotiermitteln oder einer Kombination dotiert sein. In einigen Ausführungsformen können die geätzten Regionen, die für die Fotodetektoren verwendet werden, und die geätzten Regionen, die für die Modulatoren verwendet werden, mit einem oder mehreren der gleichen Implantationsschritte implantiert werden.
  • In einigen Ausführungsformen können ein oder mehrere Koppler 107 in die Wellenleiter 104 integriert sein und können mit den Wellenleitern 104 gebildet sein. Der Randkoppler 106D kann durchgängig mit den Wellenleitern 104 sein und kann in denselben Verarbeitungsschritten wie die Wellenleiter 104 oder andere photonische Komponenten 106 gebildet sein. Die Koppler 107 sind photonische Strukturen, die es erlauben, optische Signale und/oder optische Leistung zwischen den Wellenleitern 104 und einer photonischen Komponente, wie etwa einem Lichtwellenleiter 150 oder einem Wellenleiter eines anderen photonischen Systems, zu übertragen. Die Koppler 107 können einen oder mehrere Randkoppler umfassen, wie in 2 gezeigt. Die Randkoppler erlauben die Übertragung von optischen Signalen und/oder optischer Leistung zwischen dem Wellenleiter 104 und einer photonischen Komponente, die in der Nähe einer Seitenwand des photonischen Packages 100 „kantenmontiert“ ist. 15 zeigt eine Ausführungsform, bei der ein Koppler 107, der einen Randkoppler umfasst, verwendet wird, um optische Signale zwischen einem photonischen Package 100 und einem Lichtwellenleiter 150 zu koppeln, die weiter unten ausführlicher beschrieben wird.
  • In einigen Ausführungsformen umfassen die Koppler 107 Gitterkoppler, die es erlauben, optische Signale und/oder optische Leistung zwischen den Wellenleitern 104 und einer photonischen Komponente zu übertragen, die vertikal über dem photonischen Package 100 montiert ist. 63 zeigt eine Ausführungsform, in der ein Gitterkoppler 1107C verwendet wird, um optische Leistung zwischen einem Lichtwellenleiter 150C und einem Wellenleiter 1106 einzukoppeln. 63 wird nachfolgend ausführlicher beschrieben. Ein photonisches Package 100 kann in einigen Ausführungsformen einen einzelnen Koppler 107, mehrere Koppler 107, oder mehrere Arten von Kopplern 107 umfassen. Die Koppler 107 können unter Verwendung akzeptabler Fotolithografie und Ätztechniken gebildet sein. In einigen Ausführungsformen werden die Koppler 107 mit denselben Fotolithografie- oder Ätzschritten wie die Wellenleiter 104 und/oder die photonischen Komponenten 106 gebildet. In anderen Ausführungsformen werden die Koppler 107 gebildet, nachdem die Wellenleiter 104 und/oder die photonischen Komponenten 106 gebildet wurden. In einigen Ausführungsformen kann das photonische Package 100 oder andere hierin beschriebene photonische Packages einen oder mehrere Reflektoren 109 umfassen, die für die Ausführungsform von 56 gezeigt und näher beschrieben sind.
  • In 3 wird nach einigen Ausführungsformen eine Dielektrikumschicht 108 auf der Vorderseite des BOX-Substrats 102 gebildet, um eine photonische Leitungsführungsstruktur 110 zu bilden. Die Dielektrikumschicht 108 wird über den Wellenleitern 104, den photonischen Komponenten 106, den Kopplern 107 und der Oxidschicht 102B gebildet. Die Dielektrikumschicht 108 kann aus einer oder mehreren Schichten Siliziumoxid, Siliziumnitrid, einer Kombination daraus oder dergleichen gebildet sein, und kann aus CVD, PVD, Atomlagenabscheidung (ALD), einem Spin-on-Dielektrikumsprozess, dergleichen oder einer Kombination daraus gebildet sein. In einigen Ausführungsformen kann die Dielektrikumschicht 108 durch eine hoch dichte plasmachemische Gasphasenabscheidung (HDP-CVD), eine fließfähige CVD (FCVD) (z. B. eine CVD-basierte Materialabscheidung in einem externen Plasmasystem und Nachhärtung zum Konvertieren in ein anderes Material wie Oxid), dergleichen oder einer Kombination daraus gebildet sein. Andere Dielektrika, die durch einen akzeptablen Prozess gebildet werden, können verwendet werden. In einigen Ausführungsformen wird die Dielektrikumschicht 108 dann unter Verwendung eines Planarisierungsprozesses wie etwa eines CMP-Prozesses, eines Schleifprozesses oder dergleichen planarisiert. Die Dielektrikumschicht 108 kann in einigen Ausführungsformen mit einer Dicke über der Oxidschicht 102B von zwischen ca. 50 nm und ca. 500 nm gebildet sein, oder kann mit einer Dicke über dem Wellenleiter 104 von zwischen ca. 10 nm und ca. 200 nm gebildet sein. In einigen Fällen kann eine dünnere Dielektrikumschicht 108 eine effizientere optische Kopplung zwischen einem Koppler 107, der einen Gitterkoppler umfasst, und einer vertikal montierten photonischen Komponente erlauben. 63 und 64 zeigen Ausführungsformen, bei denen ein Koppler 107, der einen Gitterkoppler umfasst, zur Kopplung optischer Signale zwischen einem photonischen Package 1101 und eines vertikal montierten Lichtwellenleiters 150 verwendet wird, die weiter unten ausführlicher beschrieben wird.
  • Aufgrund des Unterschieds der Brechungsindizes der Materialien der Wellenleiter 104 und der Dielektrikumschicht 108 weisen die Wellenleiter 104 hohe innere Reflexionen auf, sodass Licht im Wesentlichen in dem Wellenleiter 104 eingeschlossen bleibt, abhängig von der Wellenklänge des Lichts und den Brechungsindizes der jeweiligen Materialien. In einer Ausführungsform ist der Brechungsindex des Materials der Wellenleiter 104 höher als der Brechungsindex des Materials der Dielektrikumschicht 108. Beispielsweise kann der Wellenleiter 104 Silizium umfassen und die Dielektrikumschicht 108 kann Siliziumoxid und/oder Siliziumnitrid umfassen.
  • In 4 werden nach einigen Ausführungsformen Öffnungen 111 gebildet, die sich in das Substrat 102C erstrecken. Die Öffnungen 111 sind so gebildet, dass sie sich durch die Dielektrikumschicht 108 und die Oxidschicht 102B erstrecken und teilweise in das Substrat 102C hineinreichen können. Die Öffnungen 111 können durch annehmbare Fotolithografie- und Ätztechniken gebildet sein, wie etwa durch Bilden und Strukturieren eines Fotolack, gefolgt vom Ausführen eines Ätzprozesses unter Verwendung des strukturierten Fotolack als Ätzmaske. Der Ätzprozess kann beispielsweise einen Trockenätzprozess und/oder einen Nassätzprozess umfassen.
  • In 5 wird nach einigen Ausführungsformen ein leitfähiges Material in den Öffnungen 111 gebildet, wodurch Durchkontaktierungen 112 gebildet werden. In einigen Ausführungsformen kann eine Auskleidung (nicht gezeigt), wie etwa eine Diffusionssperrschicht, eine Adhäsionsschicht oder dergleichen in den Öffnungen 111 aus TaN, Ta, TiN, Ti, CoW oder dergleichen gebildet sein und kann unter Verwendung eines geeigneten Abscheidungsprozesses wie ALD oder dergleichen gebildet sein. In einigen Ausführungsformen kann eine Seed-Schicht (nicht gezeigt), die Kupfer- oder eine Kupferlegierung umfassen kann, in dann den Öffnungen 111 abgeschieden werden kann. Das leitfähige Material der Durchkontaktierungen 112 wird in den Öffnungen 111 beispielsweise unter Verwendung von ECP oder elektroloser Plattierung gebildet. Das leitfähige Material kann beispielsweise ein Metall oder eine Metalllegierung umfassen, wie etwa Kupfer, Silber, Gold, Wolfram, Kobalt, Aluminium oder Legierungen davon. Ein Planarisierungsprozess (z. B. ein CMP-Prozess oder ein Schleifprozess) kann ausgeführt werden, um überschüssiges leitfähiges Material entlang einer oberen Fläche der Dielektrikumschicht 108 zu entfernen, sodass obere Flächen der Durchkontaktierungen 112 und der Dielektrikumschicht 108 gerade sind.
  • 5 zeigt auch die Bildung von Kontakten 113, die sich durch die Dielektrikumschicht 108 erstrecken und mit den photonischen Komponenten 106 elektrisch verbunden sind. Die Kontakte 113 erlauben die Übertragung von elektrischer Leistung oder elektrischen Signalen zu den photonischen Komponenten 106 und die Übertragung von elektrischen Signalen von den photonischen Komponenten 106. So können die photonischen Komponenten 106 elektrische Signale (z. B. von einem elektronischen Die 122, siehe 9) in optische Signale umwandeln, die von den Wellenleitern 104 übertragen werden, und/oder optische Signale von den Wellenleitern 104 in elektrische Signale umwandeln (die z. B. von einem elektronischen Die 122 empfangen werden können). Die Kontakte 113 können vor oder nach dem Bilden der Durchkontaktierungen 112 gebildet sein und die Bildung der Kontakte 113 und die Bildung der Durchkontaktierungen 112 kann einige Schritte teilen, wie etwa die Abscheidung des leitfähigen Materials und/oder die Planarisierung. In einigen Ausführungsformen kann der Kontakt durch einen Damaszenerprozess gebildet sein, z. B. einen Einzeldamaszener-, Doppeldamaszenerprozess oder dergleichen. Beispielsweise werden in einigen Ausführungsformen erst unter Verwendung annehmbarer Fotolithografie und Ätztechniken Öffnungen (nicht gezeigt) für die Kontakte 113 in der Dielektrikumschicht 108 gebildet. Ein leitfähiges Material kann dann in den Öffnungen gebildet sein, um die Kontakte 113 zu bilden. Überschüssiges leitfähiges Material kann unter Verwendung eines CMP-Prozesses oder dergleichen entfernt werden. Das leitfähige Material der Kontakte 113 kann aus einem Metall oder einer Metalllegierung gebildet sein, die Aluminium, Kupfer, Wolfram oder dergleichen umfasst, was dasselbe Material sein kann wie das der Durchkontaktierungen 112. Die Kontakte 113 können in anderen Ausführungsformen unter Verwendung anderer Techniken oder Materialien gebildet sein.
  • In 6 ist nach einigen Ausführungsformen eine Umverteilungsstruktur 120 über der Dielektrikumschicht 108 gebildet. Die Umverteilungsstruktur 120 umfasst Dielektrikumschichten 117 und in den Dielektrikumschichten 117 gebildete leitfähige Merkmale 114, die Verbindungen und elektrische Leitwege bereitstellen. Beispielsweise kann die Umverteilungsstruktur 120 die Durchkontaktierungen 112, die Kontakte 113 und/oder darüber liegende Vorrichtungen wie elektronische Dies 122 verbinden (siehe 9). Die Dielektrikumschichten 117 können beispielsweise Isolierungs- oder Passivierungsschichten sein, und können ein oder mehrere Materialien umfassen, die ähnlich wie die oben für die Dielektrikumschicht 108 beschriebenen sind, wie etwa ein Siliziumoxid oder ein Siliziumnitrid, oder können ein anderes Material umfassen. Die Dielektrikumschichten 117 und die Dielektrikumschicht 108 können für Licht im gleichen Wellenlängenbereich transparent oder nahezu transparent sein. Die Dielektrikumschichten 117 können unter Verwendung einer Technik gebildet sein, die ähnlich ist wie die oben für die Dielektrikumschicht 108 beschriebene, oder unter Verwendung einer anderen Technik. Die leitfähigen Merkmale 114 können Leiterbahnen und Durchkontaktierungen umfassen und können durch einen Damaszenerprozess gebildet sein z. B. einen Einzeldamaszener-, Doppeldamaszenerprozess oder dergleichen. Wie in 6 gezeigt, werden leitfähige Pads 116 in der obersten Schicht der Dielektrikumschichten 117 gebildet. Ein Planarisierungsprozess (z. B. ein CMP-Prozess oder dergleichen) kann nach dem Bilden der leitfähigen Pads 116 ausgeführt werden, sodass Flächen der leitfähigen Pads 116 und der obersten Dielektrikumschicht 117 im Wesentlichen komplanar sind. Die Umverteilungsstruktur 120 kann mehr oder weniger Dielektrikumschichten 117, leitfähige Merkmale 114 oder leitfähige Pads 116 umfassen als in 6 gezeigt. Die Umverteilungsstruktur 120 kann in einigen Ausführungsformen mit einer Dicke zwischen etwa 4 µm und etwa 6 µm gebildet sein. Andere Dicken sind möglich.
  • In 7 wird nach einigen Ausführungsformen ein Abschnitt der Umverteilungsstruktur 120 entfernt und durch eine Dielektrikumschicht 115 ersetzt. Der Abschnitt der Umverteilungsstruktur 120 kann beispielsweise unter Verwendung akzeptabler Fotolithografie- und Ätztechniken entfernt werden, wie etwa durch Bilden und Strukturieren eines Fotolacks und anschließendes Ausführen eines Ätzprozesses zum Entfernen der Dielektrikumschichten 117 unter Verwendung des strukturierten Fotolacks als Ätzmaske. Der Ätzprozess kann beispielsweise einen Trockenätzprozess und/oder einen Nassätzprozess umfassen. Die Dielektrikumschicht 115 kann dann abgeschieden werden, um den entfernten Abschnitt der Umverteilungsstruktur 120 auszutauschen. Die Dielektrikumschicht 115 kann ein oder mehrere Materialien umfassen, die denen ähnlich sind, die oben für die Dielektrikumschicht 108 beschrieben wurden, wie etwa ein Siliziumoxid oder ein Siliziumnitrid, oder sie kann aus einem anderen Material bestehen. In einigen Ausführungsformen können die Dielektrikumschicht 115 und die Dielektrikumschicht 108 für Licht im gleichen Wellenlängenbereich transparent oder nahezu transparent sein. Die Dielektrikumschicht 115 kann mit einer ähnlichen Technik wie oben für die Dielektrikumschicht 108 beschrieben oder mit einer anderen Technik gebildet sein. In einigen Ausführungsformen wird ein Planarisierungsprozess (z. B. ein CMP- oder Schleifprozess) verwendet, um überschüssiges Material der Dielektrikumschicht 115 zu entfernen. Der Planarisierungsprozess kann auch die leitfähigen Pads 116 freilegen. Nach Ausführung des Planarisierungsprozesses können die Dielektrikumschicht 115, die oberste Dielektrikumschicht 117 und/oder die leitfähigen Pads 116 im Wesentlichen ebene Flächen aufweisen. In einigen Fällen kann das Ersetzen eines Abschnitts der Umverteilungsstruktur 120 durch die Dielektrikumschicht 115 den optischen Einschluss in den Wellenleitern 104 unterhalb der Dielektrikumschicht 115 verbessern. In anderen Ausführungsformen wird die Umverteilungsstruktur 120 nicht geätzt und die Dielektrikumschicht 115 wird nicht gebildet.
  • In 8 sind nach einigen Ausführungsformen ein oder mehrere elektronische Dies 122 mit der Umverteilungsstruktur 120 verbunden. Bei den elektronischen Dies 122 kann es sich beispielsweise um Halbleitervorrichtungen, Dies oder Chips handeln, die über elektrische Signale mit den photonischen Komponenten 106 kommunizieren. Zwar ist in 8 elektronisches Die 122 gezeigt, ein photonisches Package 100 kann jedoch in anderen Ausführungsformen zwei oder mehrere elektronischen Dies 122 umfassen. In einigen Fällen können mehrere elektronische Dies 122 in einem einzigen photonischen Package 100 eingeschlossen sein, um die Verarbeitungskosten zu verringern. Der elektronische Die 122 kann Die-Verbinder 124 umfassen, die beispielsweise leitfähige Pads, leitfähige Säulen oder dergleichen sein können. In einigen Ausführungsformen kann der elektronische Die 122 eine Dicke zwischen etwa 10 µm und etwa 35 µm aufweisen. Andere Dicken sind möglich.
  • Der elektronische Die 122 kann integrierte Schaltungen für die Verbindung mit den photonischen Komponenten 106 umfassen, wie etwa Schaltungen zur Steuerung des Betriebs der photonischen Komponenten 106. Der elektronische Die 122 kann beispielsweise Controller, Treiber, Transimpedanzverstärker oder dergleichen oder Kombinationen davon umfassen. Das elektronische Die 122 kann in einigen Ausführungsformen auch eine CPU umfassen. In einigen Ausführungsformen umfasst der elektronische Die 122 Schaltungen zur Verarbeitung von elektrischen Signalen, die von photonischen Komponenten 106 empfangen werden, wie etwa zur Verarbeitung von elektrischen Signalen, die von einer photonischen Komponente 106 empfangen werden, die einen Fotodetektor umfasst. In einigen Ausführungsformen kann der elektronische Die 122 die Hochfrequenzsignalisierung der photonischen Komponenten 106 entsprechend den von einer anderen Vorrichtung oder einem anderen Die empfangenen elektrischen Signalen (digital oder analog) steuern. In einigen Ausführungsformen kann das elektronische Die 122 eine elektronische integrierte Schaltung (EIC) oder dergleichen sein, die eine Serializer/Deserializer-Funktion (SerDes-Funktion) bereitstellt. So kann der elektronische Die 122 als Abschnitt einer E/A-Schnittstelle zwischen optischen Signalen und elektrischen Signalen innerhalb eines photonischen Packages 100 fungieren, und das hier beschriebene photonische Package 100 könnte als System-on-Chip-Vorrichtung (SoC-Vorrichtung) oder als System-on-Integrated-Circuit-Vorrichtung (SoIC-Vorrichtung) betrachtet werden.
  • In einigen Ausführungsformen ist ein elektronischer Die 122 mit der Umverteilungsstruktur 120 durch Dielektrikum-zu-Dielektrikum-Bonden und/oder Metall-zu-Metall-Bonden verbunden (z. B. direktes Bonden, Fusionsbonden, Oxid-zu-Oxid-Bonden, Hybridbonden oder dergleichen). In solchen Ausführungsformen können kovalente Bindungen zwischen Oxidschichten, wie der obersten Dielektrikumschicht 117 und Dielektrikumflächenschichten (nicht gezeigt) des elektronischen Dies 122, gebildet sein. Während des Bondens kann auch eine Metallverbindung zwischen den Die-Verbindern 124 des elektronischen Dies 122 und den leitfähigen Pads 116 der Umverteilungsstruktur 120 erfolgen.
  • In einigen Ausführungsformen erfolgt vor dem Ausführen des Bondprozesses eine Flächenbehandlung des elektronischen Dies 122. In einigen Ausführungsformen können die oberen Flächen der Umverteilungsstruktur 120 und/oder des elektronischen Dies 122 zunächst aktiviert werden, indem beispielsweise eine Trockenbehandlung, eine Nassbehandlung, eine Plasmabehandlung, ein Kontakt mit einem Inertgas, ein Kontakt mit H2, ein Kontakt mit N2, ein Kontakt mit 0, oder dergleichen oder Kombinationen davon verwendet werden. Es kann jedoch jeder geeignete Aktivierungsprozess verwendet werden. Nach dem Aktivierungsprozess kann die Umverteilungsstruktur 120 und/oder der elektronische Die 122 gereinigt werden, z. B. mit einer chemischen Spülung. Der elektronische Die 122 wird dann mit der Umverteilungsstruktur 120 ausgerichtet und in physischen Kontakt mit der Umverteilungsstruktur 120 platziert. Der elektronische Die 122 kann beispielsweise mit einem Pick-and-Place-Prozess auf der Umverteilungsstruktur 120 platziert werden. Die Umverteilungsstruktur 120 und der elektronische Die 122 können dann einer Wärmebehandlung unterzogen und/oder gegeneinander gepresst werden (z. B. durch Anwendung von Anpressdruck), um die Umverteilungsstruktur 120 und den elektronischen Die 122 zu verbinden. Beispielsweise können die Umverteilungsstruktur 120 und der elektronische Die 122 einem Druck von etwa 200 kPa oder weniger und einer Temperatur zwischen etwa 200 °C und etwa 400 °C ausgesetzt werden. Die Umverteilungsstruktur 120 und der elektronische Die 122 können dann einer Temperatur bei oder über dem eutektischen Punkt des Materials der leitfähigen Pads 116 und der Die-Verbinder 124 (z. B. zwischen etwa 150 °C und etwa 650 °C) ausgesetzt werden, um die leitfähigen Pads 116 und die Die-Verbinder 124 zu verschmelzen. So bildet die Dielektrikum-zu-Dielektrikum-Bindung und/oder Metall-zu-Metall-Bindung der Umverteilungsstruktur 120 und des elektronischen Dies 122 eine gebondete Struktur. In einigen Ausführungsformen wird die verbundene Struktur gebrannt, getempert, gepresst oder anders behandelt, um die Verbindungen zu stärken oder zu finalisieren.
  • In 9 ist nach einigen Ausführungsformen ein Dielektrikum 126 über dem elektronischen Die 122 und der Umverteilungsstruktur 120 gebildet. Das Dielektrikum 126 kann aus Siliziumoxid, Siliziumnitrid, einem Polymer, dergleichen oder einer Kombination daraus gebildet sein. Das Dielektrikum 126 kann durch CVD, PVD, ALD, einen Spin-On-Dielektrikumsprozess oder eine Kombination daraus gebildet sein. In einigen Ausführungsformen kann das Dielektrikum 126 durch HDP-CVD, FCVD, dergleichen oder eine Kombination daraus gebildet sein. Das Dielektrikum 126 kann in einigen Ausführungsformen ein Lückenfüllungsmaterial sein, das eines oder mehrere der obigen Beispielmaterialien umfassen kann. Andere Dielektrika, die durch einen akzeptablen Prozess gebildet werden, können verwendet werden. Das Dielektrikum 126 kann mit einem Planarisierungsprozess wie einem CMP-Prozess, einem Schleifprozess oder dergleichen planarisiert werden. In einigen Ausführungsformen kann der Planarisierungsprozess den elektronischen Die 122 so freilegen, dass eine Fläche des elektronischen Dies 122 und eine Fläche des Dielektrikums 126 komplanar sind.
  • In 10 ist nach einigen Ausführungsformen ein optionaler Träger 125 an der Struktur befestigt. Der Träger 125 ist eine starre Struktur, die an der Struktur befestigt wird, um für strukturelle oder mechanische Stabilität zu sorgen. Die Verwendung eines Trägers 125 kann die Verformung oder Biegung verringern, was die Leistung der optischen Strukturen wie der Wellenleiter 104 oder der photonischen Komponenten 106 verbessern kann. Der Träger 125 kann ein oder mehrere Materialien umfassen, wie etwa Silizium (z. B. einem Silizium-Wafer, Bulk-Silizium oder dergleichen), einem Siliziumoxid, einem Metall, einem organischen Kernmaterial oder dergleichen oder einer anderen Art von Material. Der Träger 125 kann an der Struktur (z. B. an dem Dielektrikum 126 und/oder den elektronischen Dies 122) mit einer Klebeschicht 127 befestigt werden, wie in 10 gezeigt, oder der Träger 125 kann durch direktes Kleben oder eine andere geeignete Technik befestigt werden. In einigen Ausführungsformen kann der Träger 125 eine Dicke zwischen etwa zwischen etwa 500 µm und etwa 700 µm aufweisen. Der Träger 125 kann auch Seitenabmessungen (z. B. Länge, Breite und/oder Fläche) aufweisen, die größer, etwa gleich groß oder kleiner als die der Struktur sind. In anderen Ausführungsformen wird der Träger 125 in einem späteren Prozessschritt während der Herstellung des photonischen Packages 100 befestigt als gezeigt.
  • In 11 ist die Struktur umgedreht und an einem Träger 140 befestigt nach einigen Ausführungsformen. Der Träger 140 kann beispielsweise ein Wafer (z. B. ein Siliziumwafer), ein Panel, ein Glassubstrat, ein Keramiksubstrat oder dergleichen sein. Die Struktur kann beispielsweise mit einem Klebstoff oder einer Trennschicht (nicht gezeigt) an dem Träger 140 befestigt sein. Die Rückseite des Substrats 102C wird dann nach einigen Ausführungsformen ausgedünnt, um die Durchkontaktierungen 112 freizulegen. Das Substrat 102C kann durch einen CMP-Prozess, ein mechanisches Schleifen, einen Ätzprozess oder dergleichen oder eine Kombination davon ausgedünnt werden.
  • In 12 wird ein Abschnitt des Substrats 102C nach einigen Ausführungsformen, um ein Ausschnitt 129 zu bilden, der die Oxidschicht 102B freilegt. Der Ausschnitt 129 kann sich über den Wellenleiter 104 und über einen Koppler 107 erstrecken und sich bis zu einer Kante des photonischen Packages 100 erstrecken, wie in 12 gezeigt. In einigen Ausführungsformen wird mehr als ein Ausschnitt 129 gebildet. Der Ausschnitt 129 kann durch geeignete Fotolithografie- und Ätztechniken gebildet sein. In einigen Ausführungsformen kann beispielsweise ein Fotolack über der Struktur gebildet und strukturiert werden, wobei die Struktur dem Ausschnitt 129 entspricht. Das Substrat 102C kann unter Verwendung des strukturierten Fotolacks als Ätzmaske geätzt werden. Das Ätzen kann mit einem oder mehreren geeigneten Verfahren ausgeführt werden, wie etwa einem Nassätzprozess, einem Trockenätzprozess oder einer Kombination davon. In einigen Ausführungsformen kann das Ätzen selektiv erfolgen, sodass das Substrat 102C ohne signifikantes Ätzen der Oxidschicht 102B entfernt wird. Das Ätzen kann beispielsweise mit HNO3, HF, CH3COOH oder dergleichen oder Kombinationen davon ausgeführt werden. Andere Ätztechniken sind möglich. In einigen Ausführungsformen kann der Ausschnitt 129 eine Tiefe D1 aufweisen, die im Bereich von etwa 6 µm bis etwa 25 µm liegt, wobei jedoch auch andere Tiefen möglich sind. In einigen Ausführungsformen ist eine Seitenwand des Ausschnitts 129 abgeschrägt oder facettiert, wie in 12 gezeigt, aber in anderen Ausführungsformen kann der Ausschnitt 129 eine vertikale Seitenwand oder eine Seitenwand mit einer anderen Neigung als gezeigt aufweisen.
  • In 13 ist der Ausschnitt 129 mit einer Dielektrikumschicht 130 gefüllt nach einigen Ausführungsformen. Die Dielektrikumschicht 130 kann ein oder mehrere Materialien umfassen, die denen ähnlich sind, die oben für die Dielektrikumschicht 108 oder die Dielektrikumschicht 115 beschrieben wurden, wie etwa ein Siliziumoxid oder dergleichen. In einigen Ausführungsformen kann die Dielektrikumschicht 130 für Licht im gleichen Wellenlängenbereich transparent oder nahezu transparent sein. Die Dielektrikumschicht 130 kann mit einer ähnlichen Technik gebildet sein, wie sie oben für die Dielektrikumschicht 108 oder die Dielektrikumschicht 115 beschrieben wurde, oder sie kann mit einer anderen Technik gebildet sein. Die Dielektrikumschicht 130 kann beispielsweise durch CVD, PVD, HDP-CVD, FCVD oder dergleichen oder mit einer anderen Technik gebildet werden. In einigen Ausführungsformen wird ein Planarisierungsprozess (z. B. ein CMP-, Schleif- und oder Ätzprozess) verwendet, um überschüssiges Material der Dielektrikumschicht 130 zu entfernen. Der Planarisierungsprozess kann auch die Durchkontaktierungen 112 freilegen. Nach Ausführung des Planarisierungsprozesses können die Dielektrikumschicht 130, das Substrat 102C und die Durchkontaktierungen 112 im Wesentlichen ebene Flächen aufweisen.
  • In einigen Fällen kann das Ersetzen eines Abschnitts des Substrats 102C über einem Koppler 107 durch die Dielektrikumschicht 130 die optische Kopplung von einem kantenmontierten Lichtwellenleiter (z. B. Faser 150 in 15) zum Koppler 107 verbessern. Die Dielektrikumschicht 130 kann beispielsweise aus einem Material sein, das für relevante Wellenlängen des Lichts transparenter ist als das Substrat 102C. Beispielsweise kann die Dielektrikumschicht 130 aus Siliziumoxid und das Substrat 102C aus Silizium bestehen, in einigen Ausführungsformen. In einigen Fällen kann das Material des Substrats 102C relevante Wellenlängen des Lichts reflektieren oder absorbieren. Indem das Substrat 102C in der Nähe eines Kopplers 107 durch die Dielektrikumschicht 130 ersetzt wird, kann weniger Licht von einem Lichtwellenleiter durch das Substrat 102C reflektiert oder absorbiert werden, bevor es den Koppler 107 erreicht, und mehr Licht vom Lichtwellenleiter kann in den Koppler 107 eingekoppelt werden. So kann der optische Verlust verringert und die Kopplungseffizienz zwischen einem Koppler 107 und einem kantenmontierten Lichtwellenleiter verbessert werden. Außerdem kann die hier beschriebene Bildung der Dielektrikumschicht 130 schwierige Prozessschritte wie Unterschneiden und Nachfüllen vermeiden und ein photonisches Package mit einer robusteren Struktur erlauben. In anderen Ausführungsformen kann mehr als ein Ausschnitt 129, die mit einer Dielektrikumschicht 130 gefüllt ist, gebildet sein, und die eine oder mehreren Dielektrikumschichten 130 können ähnliche Vorteile bieten, wie sie in 22 für die Dielektrikumschichten 330A bis B beschrieben sind.
  • In 14 werden nach einigen Ausführungsformen leitfähige Pads 128 auf den freigelegten Durchkontaktierungen 112 und dem Substrat 102C gebildet. Die leitfähigen Pads 128 können leitfähige Pads oder leitfähige Säulen sein, die mit der Umverteilungsstruktur 120 elektrisch verbunden sind. Die leitfähigen Pads 128 können aus einem leitfähigen Material wie Kupfer, einer anderen Metalllegierung, dergleichen oder Kombinationen daraus gebildet sein. Das Material der leitfähigen Pads 128 kann durch einen geeigneten Prozess gebildet sein, wie etwa Plattieren. Beispielsweise sind in einigen Ausführungsformen die leitfähigen Pads 128 Metallsäulen (wie etwa Kupfersäulen), die durch Sputtern, Drucken, Elektroplattieren, elektroloses Plattieren, CVD oder dergleichen gebildet sind. Die Metallsäulen können lötmittelfrei sein und im Wesentlichen vertikale Seitenwände. In einigen Ausführungsformen wird eine Metallabdeckschicht (nicht gezeigt) auf der Oberseite der leitfähigen Pads 128 gebildet. Die Metallabdeckschicht kann Nickel, Zinn, Zinn-Blei, Gold, Silber, Palladium, Indium, Nickel-Palladium-Gold, Nickel-Gold, dergleichen oder eine Kombination daraus umfassen und kann durch einen Plattierungsprozess gebildet sein. In einigen Ausführungsformen können Unterbumpmetallisierungen (UBMs, nicht gezeigt) über den leitfähigen Pads 128 gebildet sein. In einigen Ausführungsformen kann eine Passivierungsschicht (nicht gezeigt) wie etwa Siliziumoxid oder Siliziumnitrid, über dem Substrat 102C gebildet sein, um die leitfähigen Pads 128 zu umgeben oder teilweise abzudecken.
  • Noch immer mit Verweis auf 14, können leitfähige Verbinder 132 auf den leitfähigen Pads 128 gebildet sein, um nach einigen Ausführungsformen ein photonisches Package 100 zu bilden. Die leitfähigen Verbinder 132 können Ball-Grid-Array-Verbinder (BGA-Verbinder), Lötkugeln, Metallsäulen, Controlled-Collapse-Die-Connection-Bumps (C4-Bumps), Micro-Bumps, in Electroless-Nickel-Electroless-Palladium-Immersion-Gold-Technik (ENEPIG-Technik) gebildete Bumps oder dergleichen sein. Die leitfähigen Verbinder 132 können ein leitfähiges Material wie Lötzinn, Kupfer, Aluminium, Gold, Nickel, Silber, Palladium, Zinn, dergleichen oder eine Kombination daraus umfassen. In einigen Ausführungsformen sind die leitfähigen Verbinder 132 durch anfängliche Bildung einer Lotschicht durch üblich verwendete Verfahren wie Verdampfung, Elektroplattierung, Drucken, Lottransfer, Kugelplatzierung oder dergleichen gebildet. Sobald sich eine Lotschicht auf der Struktur gebildet hat, kann ein Aufschmelzen ausgeführt werden, um das Material in die gewünschte Bump-Form zu bringen. In einer anderen Ausführungsform sind die leitfähigen Verbinder 132 Metallsäulen (wie etwa eine Kupfersäule), die durch Sputtering, Drucken, Elektroplattierung, CVD oder dergleichen gebildet werden. Die Metallsäulen können lötmittelfrei sein und im Wesentlichen vertikale Seitenwände. In einigen Ausführungsformen wird eine Metallabdeckschicht (nicht gezeigt) auf der Oberseite der leitfähigen Verbinder 132 gebildet. Die Metallabdeckschicht kann Nickel, Zinn, Zinn-Blei, Gold, Silber, Palladium, Indium, Nickel-Palladium-Gold, Nickel-Gold, dergleichen oder eine Kombination daraus umfassen und kann durch einen Plattierungsprozess gebildet sein.
  • In einigen Ausführungsformen können mehrere photonische Packages 100 auf einem einzigen BOX-Substrat 102 gebildet und dann vereinzelt werden, um einzelne photonische Packages 100 wie das in 14 gezeigte photonische Package 100 zu bilden. In Ausführungsformen wie dieser können sich der Ausschnitt 129 und die Dielektrikumschicht 130 in eine Ritzregion erstrecken, der während der Vereinzelung entfernt wird. Die Vereinzelung kann mit einer geeigneten Technik ausgeführt werden, wie etwa mit einer Säge, einem Laser oder dergleichen oder einer Kombination davon. Das hier beschriebene photonische Package 100 ermöglicht die optische Kommunikation mit einem Lichtwellenleiter 150, der unten in 15 gezeigt ist.
  • 15 illustriert ein photonisches System 200 nach einigen Ausführungsformen. Das photonische System 200 umfasst ein oder mehrere photonische Packages 100 und ein oder mehrere Halbleitervorrichtungen 250, die an einem Interconnect-Substrat 202 befestigt sind. Das photonische Package 100 kann ähnlich wie in 14 beschrieben sein. In einigen Ausführungsformen erleichtert das photonische Package 100 die optische Kommunikation zwischen Halbleitervorrichtungen 250 und externen Vorrichtungen, optischen Netzwerken oder dergleichen. So kann ein photonisches System 200 Halbleitervorrichtungen 250 und photonische Packages 100 auf einem einzigen Interconnect-Substrat 202 kombinieren, das eine Verbindung mit einem oder mehreren Lichtwellenleitern 150 ermöglicht. Die Lichtwellenleiter 150 können, wie in 15 gezeigt, kantenmontiert sein.
  • Das Interconnect-Substrat 202 kann beispielsweise ein Glassubstrat, ein Keramiksubstrat, ein Dielektrikumsubstrat, ein organisches Substrat (z. B. ein organischer Kern), ein Halbleitersubstrat (z. B. ein Halbleiterwafer), dergleichen oder eine Kombination daraus ein. In einigen Ausführungsformen umfasst das Interconnect-Substrat 202 leitfähige Pads 204 und leitfähige Verlegung (z. B. Leiterbahnen, Durchkontaktierungen, Umverteilungsstrukturen oder dergleichen). Das Interconnect-Substrat 202 kann in einigen Ausführungsformen passive oder aktive Vorrichtungen umfassen. In einigen Ausführungsformen kann das Interconnect-Substrat 202 eine andere Art von Struktur sein, wie etwa eine integrierte Ausfächerungsstruktur, eine Umverteilungsstruktur oder dergleichen. In einigen Ausführungsformen kann das Interconnect-Substrat 202 ähnlich wie das für 74 beschriebene Interconnect-Substrat 1320 sein. Die leitfähigen Verbinder 132 des photonischen Packages 100 können mit den leitfähigen Pads 204 des Interconnect-Substrats 202 verbunden werden, wodurch elektrische Verbindungen zwischen dem photonischen Package 100 und dem Interconnect-Substrat 202 gebildet werden. Beispielsweise können die leitfähigen Verbinder 132 des photonischen Packages 100 in physischen Kontakt mit den leitfähigen Pads 204 platziert werden, und dann kann ein Aufschmelzprozess ausgeführt werden, um Lötmaterial der leitfähigen Verbinder 132 mit den leitfähigen Pads 204 zu verbinden. In einigen Ausführungsformen kann eine Unterfüllung 210 zwischen dem photonischen Package 100 und dem Interconnect-Substrat 202 gebildet sein.
  • Bei der Halbleitervorrichtung 250 kann es sich beispielsweise um Chips, Dies, System-on-Die-Vorrichtungen (SoC-Vorrichtungen), System-on-Integrated-Circuit-Vorrichtungen (SoIC-Vorrichtungen), Packages oder dergleichen oder eine Kombination davon handeln. Die Halbleitervorrichtung 250 kann eine oder mehrere Verarbeitungsvorrichtungen umfassen, wie etwa eine zentrale Prozessoreinheit (CPU), eine Grafikverarbeitungseinheit (GPU), eine anwendungsspezifische integrierte Schaltung (ASIC), ein Hochleistungsrechner-Die (HPC-Die) oder dergleichen oder eine Kombination davon. Die Halbleitervorrichtung 250 kann eine oder mehrere Speichervorrichtungen umfassen, bei denen es sich um einen flüchtigen Speicher wie einen dynamischen Direktzugriffsspeicher (DRAM), einen statischen Direktzugriffsspeicher (SRAM), einen Speicher mit hoher Bandbreite (HBM), einen anderen Speichertyp oder dergleichen handeln kann. Die Halbleitervorrichtung 250 kann an dem Interconnect-Substrat 202 befestigt sein, und eine Unterfüllung 210 kann zwischen der Halbleitervorrichtung 250 und dem Interconnect-Substrat 202 gebildet sein.
  • In einigen Ausführungsformen kann ein kantenmontierter Lichtwellenleiter 150 an dem Interconnect-Substrat 202 befestigt sein. Die Faser 150 kann durch einen Faserhalter 152 oder eine ähnliche Struktur befestigt werden und kann an dem Interconnect-Substrat 202 und/oder dem photonischen Package 100 durch einen optischen Kleber 212 oder dergleichen befestigt werden. In einigen Ausführungsformen kann die Faser 150 aktiv auf einen Koppler 107 ausgerichtet werden, indem die Position der Faser 150 eingestellt wird, während die Signalstärke, die vom photonischen Package 100 erkannt wird, überwacht wird. Wie bereits beschrieben, kann die Verwendung der Dielektrikumschicht 130 den optischen Verlust bei der Kopplung zwischen einer Faser 150 und einem Koppler 107 verringern.
  • In einigen Ausführungsformen empfängt das photonische Package 100 optische Signale von einem Lichtwellenleiter 150 (z. B. an einem Koppler 107), die mit geeigneten photonischen Komponenten 106 erkannt werden. Ein oder mehrere elektronische Dies 122 im photonischen Package 100 können dann entsprechende elektrische Signale auf Grundlage der optischen Signalen erzeugen. Diese elektrischen Signale können dann über das Interconnect-Substrat 202 an die Halbleitervorrichtung 250 übertragen werden. In einigen Ausführungsformen erzeugt die Halbleitervorrichtung 250 elektrische Signale, die über das Interconnect-Substrat 202 an ein oder mehrere elektronische Dies 122 eines photonischen Packages 100 übertragen werden können. Die elektronischen Dies 122 können dann mit geeigneten photonischen Komponenten 106 optische Signale erzeugen und diese optischen Signale in ein Lichtwellenleiter 150 einkoppeln (z. B. mit einem Koppler 107). In einigen Ausführungsformen steuert die Halbleitervorrichtung 250 die elektronischen Dies 122 des photonischen Packages 100. So kann das photonische Package 100 in einigen Ausführungsformen als „optisches Ein-/Ausgabemodul (E-/A-Modul)“ für das photonische System 200 betrachtet werden. Die Verwendung von photonischen Packages 100, wie hier beschrieben, kann die Größe oder die Kosten eines photonischen Systems 200 verringern und gleichzeitig eine optische Hochgeschwindigkeitskommunikation erlauben.
  • 16 und 17 illustrieren ein photonisches Package 100' und ein photonisches System 200' nach einigen Ausführungsformen. Das photonische Package 100' kann dem in 14 gezeigten photonischen Package 100 ähnlich sein, mit der Ausnahme, dass eine Nut 131 in den Träger 125 geätzt ist, um die Befestigung einem Lichtwellenleiter 150 zu erleichtern. Die Nut 131 kann beispielsweise eine V- oder U-förmige Nut sein, die auch Facetten aufweisen kann. In einigen Ausführungsformen wird die Nut 131 in den Träger 125 geätzt, indem die Ätzung, die den Ausschnitt 129 bildet, verlängert wird. In anderen Ausführungsformen wird die Nut 131 in einem separaten Ätzschritt geätzt. Die Steuerung der Tiefe und Größe der Nut 131 kann die passive Ausrichtung eines Lichtwellenleiters 150 erlauben. Beispielsweise kann ein Lichtwellenleiter 150 in die Nut 131 passen, sodass der Lichtwellenleiter 150 optisch mit dem Koppler 107 gekoppelt ist. Das passive Ausrichten des Lichtwellenleiters 150 in dieser Weise kann in einigen Fällen die Montagezeit verringern.
  • Mit Blick auf 17 ist ein photonisches System 200' gezeigt, das nach einigen Ausführungsformen ein photonisches Package 100' mit einer Nut 131 aufweist. Das photonische System 200' ähnelt dem in 15 gezeigten photonischen System 200, mit dem Unterschied, dass das photonische System 200' das photonische Package 100' umfasst. Der Lichtwellenleiter 150 kann an der Nut 131 des photonischen Packages 100' ausgerichtet und dann mit einem optischen Kleber 212 oder dergleichen befestigt werden. Der Lichtwellenleiter 150 kann in einigen Ausführungsformen auch von einem Faserhalter 152 getragen werden.
  • Die 18 bis 23 illustrieren Zwischenschritte bei der Bildung eines photonischen Packages 300 nach einigen Ausführungsformen. Das photonische Package 300 ähnelt dem photonischen Package 100, mit dem Unterschied, dass im Substrat 102C mehrere Ausschnitte 329 gebildet sind und der elektronische Die 122 mit Hilfe der leitfähigen Verbinder 132 an den Durchkontaktierungen 112 befestigt ist. In einigen Fällen kann der elektronische Die 122 zu geringeren Kosten an den Durchkontaktierungen 112 befestigt werden als bei der Befestigung des elektronischen Dies 122 an der Umverteilungsstruktur 120 durch direktes Bonden.
  • 18 illustriert eine Struktur ähnlich der in 7 gezeigten Struktur nach einigen Ausführungsformen. Die in 18 gezeigte Struktur umfasst beispielsweise einen oder mehrere Wellenleiter 104, eine oder mehrere photonische Komponenten 106, einen oder mehrere Koppler 107, Durchkontaktierungen 112 und eine Umverteilungsstruktur 320. Die Wellenleiter 104, die photonischen Komponenten 106, die Koppler 107 und die Durchkontaktierungen 112 können ähnlich sein wie die zuvor für das photonische Package 100 beschriebenen und können in ähnlicher Weise gebildet sein. Die Umverteilungsstruktur 320 kann ähnlich wie die für die 6 bis 7 beschriebene Umverteilungsstruktur 120 sein und kann auf ähnliche Weise gebildet sein. Die Umverteilungsstruktur 320 kann eine obere Fläche aufweisen, die im Wesentlichen auf einer Ebene mit der Dielektrikumschicht 115 liegt. In einigen Ausführungsformen umfasst die Umverteilungsstruktur 320 eine Schicht aus leitfähigen Merkmalen 114 anstelle einer Schicht aus leitfähigen Pads 116.
  • In 19 ist ein Träger 125 an der Struktur befestigt. Der Träger 125 kann ähnlich wie der zuvor für 10 beschriebene Träger 125 sein und kann auf ähnliche Weise befestigt werden. Beispielsweise. Der Träger 125 kann mit Hilfe einer Klebeschicht 127 an der Umverteilungsstruktur 320 und der Dielektrikumschicht 115 befestigt sein. In 20 ist die Struktur umgedreht und an einem Träger 140 befestigt nach einigen Ausführungsformen. Der Träger 140 kann ähnlich wie der zuvor für 11 beschriebene Träger 140 sein. Die Struktur kann beispielsweise mit einem Klebstoff oder einer Trennschicht (nicht gezeigt) an dem Träger 140 befestigt sein. Die Rückseite des Substrats 102C wird dann nach einigen Ausführungsformen ausgedünnt, um die Durchkontaktierungen 112 freizulegen. Das Substrat 102C kann durch einen CMP-Prozess, ein mechanisches Schleifen, einen Ätzprozess oder dergleichen oder eine Kombination davon ausgedünnt werden.
  • In 21 wird nach einigen Ausführungsformen ein Abschnitt des Substrats 102C entfernt, um mehrere Ausschnitte 329 zu bilden, die die Oxidschicht 102B freilegen. 21 zeigt zwei Ausschnitte 329A und 329B, aber in anderen Ausführungsformen können auch mehr als zwei Ausschnitte 329 gebildet sein. Der Ausschnitt 329A kann sich über den Wellenleiter 104 und über einen Koppler 107 erstrecken und sich bis zu einer Kante des photonischen Packages 300 erstrecken, wie in 21 gezeigt. Der Ausschnitt 329B kann von der Kante des photonischen Packages 300 weg gebildet sein, und ein verbleibender Abschnitt des Substrats 102C kann der Ausschnitt 329A und der Ausschnitt 329B trennen. Die Ausschnitte 329A und 329B können unterschiedliche Größen oder Bilden aufweisen, die sich von den in 21 gezeigten unterscheiden können.
  • Die Ausschnitte 329A bis B können mit geeigneten Fotolithografie- und Ätztechniken gebildet sein, wie sie für die in 12 gezeigten Ausschnitte 129 beschrieben sind. In einigen Ausführungsformen kann beispielsweise ein Fotolack über der Struktur gebildet und strukturiert werden, und dann kann das Substrat 102C unter Verwendung des strukturierten Fotolacks als Ätzmaske geätzt werden. In einigen Ausführungsformen sind die Seitenwände der Ausschnitte 329A bis B abgeschrägt oder facettiert, wie in 21 gezeigt, aber in anderen Ausführungsformen können der Ausschnitten 329A bis B vertikale Seitenwände oder Seitenwände mit anderen Abschrägungen als gezeigt haben.
  • In 22 sind der Ausschnitten 329A bis B mit einem Dielektrikum gefüllt, um eine Dielektrikumschicht 330A in dem Ausschnitt 329A und eine Dielektrikumschicht 330B in dem Ausschnitt 329B zu bilden, nach einigen Ausführungsformen. Das Dielektrikum kann ein oder mehrere Materialien umfassen, die denen ähnlich sind, die für die Dielektrikumschicht 130 in 13 beschrieben wurden, wie etwa ein Siliziumoxid oder dergleichen. Das Dielektrikum kann mit einer ähnlichen Technik gebildet sein, wie sie oben für die Dielektrikumschicht 130 beschrieben wurde. In einigen Ausführungsformen wird ein Planarisierungsprozess (z. B. ein CMP-, Schleif- und/oder Ätzprozess) verwendet, um überschüssiges Dielektrikum von den Dielektrikumschichten 330A bis B zu entfernen. Der Planarisierungsprozess kann auch die Durchkontaktierungen 112 freilegen. Nach Ausführung des Planarisierungsprozesses können die Dielektrikumschichten 330A bis B, das Substrat 102C und die Durchkontaktierungen 112 im Wesentlichen ebene Flächen aufweisen.
  • In einigen Fällen kann das Ersetzen eines Abschnitts des Substrats 102C über einem Koppler 107 durch die Dielektrikumschicht 330A die optische Kopplung von einem kantenmontierten Lichtwellenleiter (z. B. Faser 150 in 24) zum Koppler 107 verbessern.
  • Diese Verbesserung ist ähnlich wie die zuvor für die Dielektrikumschicht 130 in 13 beschriebene. In einigen Fällen kann das Ersetzen eines Abschnitts des Substrats 102C über einem Koppler 107 durch die Dielektrikumschicht 330B die elektrische Isolierung und/oder die thermische Isolierung des photonischen Packages 300 verbessern. In einigen Ausführungsformen kann die Dielektrikumschicht 330B beispielsweise über einer photonischen Komponente 206 oder über der Umverteilungsstruktur 320 gebildet sein. In einigen Fällen kann das Dielektrikum der Dielektrikumschicht 330B eine bessere elektrische Isolierung bieten als das Material des Substrats 102C. So kann die Bildung einer Dielektrikumschicht 330B ein verringertes elektrisches Leck oder eine verbesserte elektrische Isolierung erlauben. Zusätzlich kann in einigen Fällen das Dielektrikum der Dielektrikumschicht 330B eine bessere Wärmeisolierung bieten als das Material des Substrats 102C. So kann das Ersetzen eines Abschnitts des Substrats 102C durch die Dielektrikumschicht 330B eine bessere thermische Isolierung von Komponenten oder Strukturen in der Nähe der Dielektrikumschicht 330B erlauben. In einigen Fällen können photonische Strukturen wie photonische Komponenten 106, Wellenleiter 104 oder Koppler 107 eine verbesserte Leistung bei erhöhten Temperaturen aufweisen. Eine Dielektrikumschicht 330B kann in der Nähe solcher photonischen Strukturen gebildet sein, um eine verbesserte thermische Isolierung und eine verbesserte Heizleistung der photonischen Strukturen zu erreichen, was die Leistung des photonischen Packages 300 verbessern kann. In einigen Fällen kann eine Dielektrikumschicht 330A über einem Koppler 107 auch ähnliche Vorteile wie eine elektrische Isolierung oder eine thermische Isolierung bereitstellen.
  • In 23 sind leitfähige Pads 128 und leitfähige Verbinder 132 auf den Durchkontaktierungen 112 gebildet, und ein elektronischer Die 122 ist nach einigen Ausführungsformen an den leitfähigen Verbindern 132 befestigt. Die leitfähigen Pads 128 und die leitfähigen Verbinder 132 können ähnlich wie in 14 beschrieben sein. In einigen Ausführungsformen können die leitfähigen Pads 128 ein oder mehrere leitfähige Pads umfassen, die nachfolgend zum Drahtbonden verwendet werden (siehe 24), ein Beispiel dafür ist in 23 als leitfähiges Pad 128' gezeigt.
  • Der elektronische Die 122 kann ähnlich wie der zuvor für 8 beschriebene elektronische Die 122 sein, und es kann mehr als ein elektronischer Die 122 vorhanden sein. In einigen Ausführungsformen kann der elektronische Die 122 mit den leitfähigen Pads 128 verbunden werden, wodurch elektrische Verbindungen zwischen den Durchkontaktierungen und dem elektronischen Die 122 gebildet werden. Beispielsweise können die Verbinder 124 des elektronischen Dies 122 in physischen Kontakt mit den leitfähigen Verbindern 132 platziert werden, und dann kann ein Aufschmelzprozess ausgeführt werden, um das Lötmaterial der leitfähigen Verbinder 132 mit den Verbindern 124 zu verbinden. In einigen Ausführungsformen kann eine Unterfüllung 210 zwischen dem elektronischen Die 122 und dem Substrat 102C gebildet sein. In einigen Fällen kann das Befestigen eines elektronischen Dies 122 mit Hilfe von leitfähigen Verbindern 132 die Verarbeitungskosten verringern. So kann ein photonisches Package 300 gebildet sein. In anderen Ausführungsformen kann in dem photonischen Package 300 eine Nut 131 ähnlich der in 16 gezeigten gebildet sein. Nach dem Befestigen des elektronischen Dies 122 kann der Träger 140 von der Struktur entfernt werden.
  • 24 illustriert ein photonisches System 400 nach einigen Ausführungsformen. Das photonische System 400 umfasst ein oder mehrere photonische Packages 300, die an einem Interconnect-Substrat 402 befestigt sind. Das photonische Package 300 kann ähnlich aufgebaut sein wie in 23 beschrieben. Das Interconnect-Substrat 402 kann ähnlich wie das in 15 beschriebene Interconnect-Substrat 202 sein. Beispielsweise kann das Interconnect-Substrat 402 eine oder mehrere leitfähige Pads 404 umfassen, die den leitfähigen Pads 204 des Interconnect-Substrats 202 ähnlich sind. In einigen Ausführungsformen ist der Träger 125 des photonischen Packages 300 mit einer Klebeschicht 410 oder einer anderen geeigneten Technik an dem Interconnect-Substrat 402 befestigt.
  • In einigen Ausführungsformen ist das photonische Package 300 mit dem Interconnect-Substrat 402 über eine oder mehrere Drahtbonds 420 elektrisch verbunden. Die Drahtbonds 420 können mit den leitfähigen Pads 128' des photonischen Packages 300 und mit den leitfähigen Pads 404 des Interconnect-Substrats 402 verbunden sein. In einigen Ausführungsformen kann nachfolgend ein Verkapselungsmaterial, eine Formmasse oder dergleichen über die Drahtbindungen 420 abgeschieden werden (in 24 nicht gezeigt). Eine oder mehrere kantenmontierte Lichtwellenleiter 150 können auch an dem Interconnect-Substrat 402 befestigt und optisch mit den Kopplern 107 des photonischen Packages 300 gekoppelt werden. In einigen Ausführungsformen können ein oder mehrere Halbleitervorrichtungen 250, ähnlich wie in 15 beschrieben, mit dem Interconnect-Substrat 402 verbunden sein (in 24 nicht gezeigt).
  • 25 illustriert ein photonisches Package 500 nach einigen Ausführungsformen. Das photonische Package 500 ähnelt dem in 23 gezeigten photonischen Package 300, mit der Ausnahme, dass die elektronischen Dies 122 nicht an den leitfähigen Verbindern 132 befestigt sind, und das photonische Package 500 die elektronischen Dies 122 nicht umfasst. Das photonische Package 500 kann eine oder mehrere Dielektrikumschichten 330A bis B umfassen, die den für 23 beschriebenen Dielektrikumschichten 330A bis B oder der für 13 beschriebenen Dielektrikumschicht 130 ähnlich sein können.
  • 26 illustriert ein photonisches System 550 nach einigen Ausführungsformen. Das photonische System 550 umfasst ein oder mehrere photonische Packages 500, die an einem Interconnect-Substrat 202 befestigt sind. Die photonischen Packages 500 können ähnlich aufgebaut sein wie in 25 beschrieben. Das Interconnect-Substrat 202 kann ähnlich wie das in 15 beschriebene Interconnect-Substrat 202 sein. Beispielsweise kann das Interconnect-Substrat 202 eine oder mehrere leitfähige Pads 204 umfassen.
  • Die leitfähigen Verbinder 132 des photonischen Packages 500 können mit den leitfähigen Pads 204 des Interconnect-Substrats 202 verbunden werden, wodurch elektrische Verbindungen zwischen dem photonischen Package 500 und dem Interconnect-Substrat 202 gebildet werden. Beispielsweise können die leitfähigen Verbinder 132 des photonischen Packages 500 in physischen Kontakt mit den leitfähigen Pads 204 platziert werden, und dann kann ein Aufschmelzprozess ausgeführt werden, um Lötmaterial der leitfähigen Verbinder 132 mit den leitfähigen Pads 204 zu verbinden. In einigen Ausführungsformen kann eine Unterfüllung 210 zwischen dem photonischen Package 500 und dem Interconnect-Substrat 202 gebildet sein.
  • In einigen Ausführungsformen sind ein oder mehrere elektronische Dies 122 mit dem Interconnect-Substrat 202 verbunden. Die elektronischen Dies 122 können ähnlich wie die zuvor beschriebenen sein. Die elektronischen Dies 122 können mit den leitfähigen Pads 204 des Interconnect-Substrats 202 verbunden werden, beispielsweise mit Hilfe von Lötbumps oder dergleichen. So können die elektronischen Dies 122 über das Interconnect-Substrat 202 mit dem photonischen Package 500 elektrisch verbunden sein. In einigen Fällen kann das Befestigen der elektronischen Dies 122 auf dem Interconnect-Substrat 202 eine größere Flexibilität beim Design, ein dünneres photonisches System 550 oder verringerte Verarbeitungskosten erlauben. Eine oder mehrere kantenmontierte Lichtwellenleiter 150 können auch an dem Interconnect-Substrat 202 befestigt und optisch mit den Kopplern 107 des photonischen Packages 500 gekoppelt werden. In einigen Ausführungsformen können ein oder mehrere Halbleitervorrichtungen 250, ähnlich wie in 15 beschrieben, mit dem Interconnect-Substrat 202 verbunden sein (in 26 nicht gezeigt).
  • Die 27 bis 42 illustrieren Zwischenschritte bei der Bildung eines photonischen Packages 600 nach einigen Ausführungsformen. Das photonische Package 600 ähnelt dem photonischen Package 100, mit dem Unterschied, dass ein oder mehrere Wellenleiter 604 (siehe Wellenleiter 604A bis B in 42) über den Wellenleitern 104 gebildet und optisch mit den Wellenleitern 104 gekoppelt sind. Die Wellenleiter 604 sind aus einem anderen Material als die Wellenleiter 104 gebildet. Beispielsweise können die Wellenleiter 604 aus Siliziumnitrid oder einem Polymer und die Wellenleiter 104 aus Silizium gebildet sein. Andere Materialien sind möglich. In einigen Fällen können die Wellenleiter 604 aus einem Material gebildet sein, das weniger optische Verluste oder geringere Prozesskosten als die Wellenleiter 104 aufweist. So kann ein optisches Netzwerk aus den Wellenleitern 104 und den Wellenleitern 604 in einem photonischen Package 600 gebildet sein, was die Effizienz oder Leistung des photonischen Packages 600 verbessern kann.
  • 27 illustriert ein BOX-Substrat 102 nach einigen Ausführungsformen. Das BOX-Substrat 102 kann ähnlich wie das in 1 beschriebene BOX-Substrat 102 sein. Beispielsweise kann das BOX-Substrat eine Oxidschicht 102B, die über einem Substrat 102C gebildet ist, und eine Siliziumschicht 102A, die über der Oxidschicht 102B gebildet ist, umfassen. In 28 ist die Siliziumschicht 102A strukturiert, um Siliziumregionen für Wellenleiter 104 und/oder photonische Komponenten 106 zu bilden, nach einigen Ausführungsformen. Die Wellenleiter 104 bzw. die photonischen Komponenten 106 können ähnlich wie in 2 beschrieben und in ähnlicher Weise gebildet sein. In einigen Ausführungsformen sind auch ein oder mehrere Koppler 107 gebildet (in 28 nicht gezeigt).
  • In 29 ist auf der Vorderseite des BOX-Substrats 102 eine Dielektrikumschicht 108 gebildet, und in der Dielektrikumschicht 108 sind nach einigen Ausführungsformen Durchkontaktierungen 612 und Kontakte 613 gebildet. Die Dielektrikumschicht 108 wird über den Wellenleitern 104, den photonischen Komponenten 106 und der Oxidschicht 102B gebildet. Die Dielektrikumschicht 108 kann ähnlich wie die zuvor für 3 beschriebene Dielektrikumschicht 108 sein.
  • Die Durchkontaktierungen 612 und Kontakte 613 können dann in der Dielektrikumschicht 108 gebildet sein. Die Durchkontaktierungen 612 können sich in die Dielektrikumschicht 108 erstrecken, und die Kontakte 613 können sich in die Dielektrikumschicht 108 erstrecken, um einen elektrischen Kontakt mit den photonischen Komponenten 106 herzustellen. In einigen Ausführungsformen können die Durchkontaktierungen 612 oder die Kontakte 613 mit einem Damaszenerprozess gebildet sein, z. B. einfaches Damaszener, doppeltes Damaszener oder dergleichen. Die Durchkontaktierungen 612 und die Kontakte 613 können beispielsweise durch die Bildung von Öffnungen in der Dielektrikumschicht 108 unter Verwendung akzeptabler Fotolithografie- und Ätztechniken gebildet sein. Die Öffnungen können sich teilweise oder vollständig durch die Dielektrikumschicht 108 erstrecken, oder sie können sich in einigen Ausführungsformen teilweise in die Oxidschicht 102B erstrecken. Nach dem Bilden der Öffnungen kann leitfähiges Material innerhalb der Öffnungen abgeschieden werden, um die Durchkontaktierungen 612 und die Kontakte 613 zu bilden. Das leitfähige Material der Durchkontaktierungen 612 und/oder der Kontakte 613 kann ein ähnliches Material sein, wie es zuvor für die Durchkontaktierungen 112 oder die Kontakte 113 beschrieben wurde (siehe 5), und kann mit ähnlichen Techniken gebildet sein. Die Durchkontaktierungen 612 oder Kontakte 613 können in anderen Ausführungsformen mit anderen Techniken oder Materialien gebildet sein.
  • In 30 ist nach einigen Ausführungsformen eine Umverteilungsstruktur 120 über der Dielektrikumschicht 108 gebildet. Die Umverteilungsstruktur 120 kann ähnlich wie die für 6 beschriebene Umverteilungsstruktur 120 sein. Die Umverteilungsstruktur 120 kann beispielsweise Dielektrikumschichten 117 und in den Dielektrikumschichten 117 gebildete leitfähige Merkmale 114 umfassen, die Verbindungen und elektrisches Routing bereitstellen. Die Umverteilungsstruktur 120 kann die Durchkontaktierungen 612 Kontakte 613 und/oder darüber liegende Vorrichtungen wie elektronische Dies 122 verbinden (siehe 32). In 31 wird nach einigen Ausführungsformen ein Abschnitt der Umverteilungsstruktur 120 entfernt und durch eine Dielektrikumschicht 115 ersetzt. Das Entfernen des Abschnitts der Umverteilungsstruktur 120 und das Abscheiden der Dielektrikumschicht 115 kann ähnlich wie der Prozess und die Materialien erfolgen, die zuvor für 7 beschrieben wurden.
  • In 32 sind ein oder mehrere elektronische Dies 122 an der Umverteilungsstruktur 120 befestigt, und ein Dielektrikum 126 ist nach einigen Ausführungsformen gebildet. Der elektronische Die 122 kann ähnlich wie der in 8 beschriebene elektronische Die 122 sein und kann mit ähnlichen Techniken an der Umverteilungsstruktur 120 befestigt werden. Beispielsweise kann der elektronische Die 122 durch direktes Bonden oder dergleichen befestigt sein. Das Dielektrikum 126 kann ein ähnliches Material sein wie das zuvor für 9 beschriebene Dielektrikum 126 und kann auf ähnliche Weise gebildet sein. Nach dem Bilden des Dielektrikums 126 kann ein Planarisierungsprozess ausgeführt werden, bei dem die oberen Flächen des elektronischen Dies 122 und des Dielektrikums 126 im Wesentlichen plan sind.
  • In 33 ist nach einigen Ausführungsformen ein Träger 125 an der Struktur befestigt. Der Träger 125 kann ähnlich wie der zuvor für 10 beschriebene Träger 125 sein und kann auf ähnliche Weise befestigt werden. Der Träger 125 kann beispielsweise mit einer Klebeschicht 127 oder dergleichen befestigt sein.
  • In 34 ist die Struktur umgedreht und an einem Träger 140 befestigt nach einigen Ausführungsformen. Der Träger 140 kann ähnlich wie der zuvor für 11 beschriebene Träger 140 sein. Die Struktur kann beispielsweise mit einem Klebstoff oder einer Trennschicht (nicht gezeigt) an dem Träger 140 befestigt sein. Die Rückseite des Substrats 102C wird dann nach einigen Ausführungsformen entfernt, um die Oxidschicht 102B freizulegen. Das Substrat 102C kann durch einen CMP-Prozess, ein mechanisches Schleifen, einen Ätzprozess (z. B. einen Nassätzprozess, einen Trockenätzprozess oder eine Kombination davon) oder dergleichen entfernt werden.
  • In 35 wird die Oxidschicht 102B nach einigen Ausführungsformen ausgedünnt. Die Oxidschicht 102B kann durch einen CMP-Prozess, ein mechanisches Schleifen, einen Ätzprozess (z. B. einen Nassätzprozess, einen Trockenätzprozess oder eine Kombination davon) oder dergleichen ausgedünnt werden. In einigen Ausführungsformen kann die Oxidschicht 102B nach dem Ausdünnen eine Dicke aufweisen, die im Bereich von etwa 100 nm bis etwa 400 nm liegt. Andere Dicken sind möglich. In einigen Fällen kann das Ausdünnen der Oxidschicht 102B eine effizientere optische Kopplung zwischen den Wellenleitern 104 und den nachfolgend gebildeten Wellenleitern 604A erlauben (siehe 37).
  • Die 36, 37 und 38 illustrieren Zwischenschritte bei der Bildung von Wellenleitern 604 über der Oxidschicht 102B nach einigen Ausführungsformen. Die Wellenleiter 604 können in einigen Ausführungsformen ein Material wie Siliziumnitrid, Polymer oder dergleichen umfassen. Die Beschreibung der 36, 37 und 38 unten bezieht sich auf eine Ausführungsform, in der die Wellenleiter 604 Siliziumnitrid umfassen, aber in anderen Ausführungsformen können auch andere Materialien verwendet werden. Das photonische Package 600 umfasst zwei Schichten von Wellenleitern 604, die eine Schicht von Wellenleitern 604A und eine Schicht von Wellenleitern 604B umfassen, aber das photonische Package 600 kann in anderen Ausführungsformen mehr oder weniger Schichten von Wellenleitern 604 umfassen. In einigen Ausführungsformen wird eine einzige Schicht von Wellenleitern 604 für das photonische Package 600 gebildet, und in anderen Ausführungsformen sind drei oder mehr Schichten von Wellenleitern 604 für das photonische Package 600 gebildet. Weitere Schichten von Wellenleitern 604 können beispielsweise durch Wiederholung einiger oder aller der für die Bildung der Wellenleiter 604A oder der Wellenleiter 604B beschriebenen Schritte gebildet sein.
  • In 36 wird nach einigen Ausführungsformen eine Siliziumnitridschicht 602 über der Oxidschicht 102B abgeschieden. Die Siliziumnitridschicht 602 kann mit einer geeigneten Abscheidungstechnik, wie etwa CVD, PECVD, LPCVD, PVD oder dergleichen, gebildet sein. In einigen Ausführungsformen wird die Siliziumnitridschicht 602 mit einer Dicke im Bereich von ca. 0,3 µm bis ca. 1,0 µm gebildet, wobei jedoch auch andere Dicken möglich sind.
  • In 37 wird die Siliziumnitridschicht 602 nach einigen Ausführungsformen strukturiert, um die Wellenleiter 604A zu bilden. Die Wellenleiter 604A können mit akzeptablen Fotolithografie- und Ätztechniken strukturiert werden. Beispielsweise kann in einigen Ausführungsformen eine Hartmaskenschicht über der Siliziumnitridschicht 602 gebildet und strukturiert sein. Die Struktur der Hartmaskenschicht kann dann durch einen Ätzprozess auf die Siliziumnitridschicht 602 übertragen werden. Der Ätzprozess kann beispielsweise einen Trockenätzprozess und/oder einen Nassätzprozess umfassen. Der Ätzprozess kann selektiv für Siliziumnitrid gegenüber Siliziumoxid oder anderen Materialien sein. Die Siliziumnitridschicht 602 kann geätzt werden, um Ausschnitte zu bilden, die die Wellenleiter 604A definieren, wobei die Seitenwände der verbleibenden, nicht ausgeschnittenen Abschnitte die Seitenwände der Wellenleiter 604A definieren. In einigen Ausführungsformen kann mehr als eine Fotolithografie- und Ätzsequenz verwendet werden, um die Siliziumnitridschicht 602 zu strukturieren. Ein Wellenleiter 604A oder mehrere Wellenleiter 604A können aus der Siliziumnitridschicht 602 strukturiert werden. Wenn mehrere Wellenleiter 604A gebildet werden, können die mehreren Wellenleiter 604A einzelne separate Wellenleiter 604A sein oder als eine einzige durchgehende Struktur verbunden sein. In einigen Ausführungsformen bilden ein oder mehrere der Wellenleiter 604A eine fortlaufende Schleife. In einigen Ausführungsformen können die Wellenleiter 604A photonische Strukturen wie Gitterkoppler, Randkoppler oder Koppler (z. B. Moduswandler) umfassen, die die Übertragung optischer Signale zwischen zwei Wellenleitern 604A und/oder zwischen einem Wellenleiter 604A und einem Wellenleiter 104 erlauben. Beispielsweise kann ein Wellenleiter 604A einen Randkoppler umfassen, der die Übertragung von optischen Signalen und/oder optischer Leistung zwischen dem Wellenleiter 604A und beispielsweise einem an der Kante montierten Lichtwellenleiter 150 ermöglicht.
  • In einigen Fällen kann ein aus Siliziumnitrid gebildeter Wellenleiter (z. B. Wellenleiter 604A) Vorteile gegenüber einem aus Silizium gebildeten Wellenleiter (z. B. Wellenleiter 104) haben. Beispielsweise weist Siliziumnitrid eine höhere Dielektrizitätskonstante als Silizium auf. Daher kann ein Nitridwellenleiter eine größere innere Begrenzung des Lichts aufweisen als ein Siliziumwellenleiter. Dies kann auch dazu führen, dass die Leistung oder Leck von Nitridwellenleitern weniger empfindlich auf Prozessschwankungen, weniger empfindlich auf Maßeinheitlichkeit und weniger empfindlich auf Flächenrauheit (z. B. Kantenrauheit oder Linienbreitenrauheit) ist. In einigen Fällen kann die verringerte Prozessempfindlichkeit dazu führen, dass Nitridwellenleiter einfacher oder kostengünstiger zu verarbeiten sind als Siliziumwellenleiter. Diese Eigenschaften können dazu führen, dass ein Nitridwellenleiter einen geringeren Ausbreitungsverlust als ein Siliziumwellenleiter hat. In einigen Fällen kann der Ausbreitungsverlust (dB/cm) eines Nitridwellenleiters zwischen etwa 0,1 % und etwa 50 % eines Siliziumwellenleiters liegen. In einigen Fällen kann ein Nitridwellenleiter auch weniger empfindlich auf die Temperatur der Umgebung reagieren als ein Siliziumwellenleiter. Beispielsweise kann ein Nitridwellenleiter eine Temperaturempfindlichkeit aufweisen, die nur etwa 1 % der eines Siliziumwellenleiters beträgt. So können die hier beschriebenen Ausführungsformen die Bildung eines photonischen Packages 600 erlauben, das sowohl Nitridwellenleiter (z. B. Wellenleiter 604A) als auch Siliziumwellenleiter (z. B. Wellenleiter 104) aufweist. Die mehreren Sätze von Wellenleitern (z. B. 104, 604) in dem photonischen Package 600 können ein größeres und effizienteres optisches Netzwerk innerhalb des photonischen Packages 600 erlauben, was zu einer schnelleren und effizienteren Vorrichtungsleistung führen kann.
  • In 38 wird eine Dielektrikumschicht 605 über den Wellenleitern 604A gebildet, und Wellenleiter 604B können nach einigen Ausführungsformen über der Dielektrikumschicht 605 gebildet sein. Die Dielektrikumschicht 605 kann ein oder mehrere Materialien umfassen, die denen ähnlich sind, die oben für die Dielektrikumschicht 108 oder die Dielektrikumschicht 115 beschrieben wurden. Die Dielektrikumschicht 605 kann beispielsweise ein Siliziumoxid oder dergleichen umfassen. Die Dielektrikumschicht 605 kann mit einer ähnlichen Technik gebildet sein, wie sie oben für die Dielektrikumschicht 108 oder die Dielektrikumschicht 115 beschrieben wurde, oder sie kann mit einer anderen Technik gebildet sein. Die Dielektrikumschicht 605 kann beispielsweise durch CVD, PVD, HDP-CVD, FCVD oder dergleichen oder mit einer anderen Technik gebildet werden. In einigen Ausführungsformen wird ein Planarisierungsprozess (z. B. ein CMP-, Schleif- und oder Ätzprozess) verwendet, um überschüssiges Material der Dielektrikumschicht 605 zu entfernen. Nach der Planarisierung kann die Dielektrikumschicht 605 in einigen Ausführungsformen eine Dicke zwischen etwa 0,6 µm und etwa 1,6 µm aufweisen. Andere Dicken sind möglich. In einigen Fällen kann eine dünnere Dielektrikumschicht 605 eine effizientere optische Kopplung zwischen den Wellenleitern 604A und den darüber liegenden Wellenleitern 604B (falls vorhanden) erlauben.
  • Die Wellenleiter 604B können dann auf der Dielektrikumschicht 605 gebildet sein. Die Wellenleiter 604B können mit ähnlichen Techniken wie die Wellenleiter 604A gebildet werden. Beispielsweise kann eine Schicht aus Siliziumnitrid auf der Dielektrikumschicht 605 abgeschieden und strukturiert werden, um die Wellenleiter 604B zu bilden. Die Wellenleiter 604B können Koppler umfassen, die die Übertragung von optischen Signalen zwischen zwei Wellenleitern 604B und/oder zwischen einem Wellenleiter 604B und einem Wellenleiter 604A erlauben, oder sie können einen oder mehrere Randkoppler umfassen. In einigen Ausführungsformen kann eine zusätzliche Schicht von Wellenleitern 604 über den Wellenleitern 604B gebildet sein, indem beispielsweise eine Dielektrikumschicht abgeschieden wird, die Dielektrikumschicht ausgedünnt wird und dann die zusätzlichen Wellenleiter auf ähnliche Weise wie die Wellenleiter 604A bis B gebildet werden.
  • In 39 ist eine Dielektrikumschicht 608 über den Wellenleitern 604B und über der Dielektrikumschicht 605 nach einigen Ausführungsformen gebildet. Die Dielektrikumschicht 608 kann ein oder mehrere Materialien umfassen, die denen ähnlich sind, die oben für die Dielektrikumschicht 108, die Dielektrikumschicht 115 oder die Dielektrikumschicht 605 beschrieben wurden, und kann mit ähnlichen Techniken gebildet sein. Die Dielektrikumschicht 608 kann beispielsweise ein Siliziumoxid oder dergleichen umfassen. In einigen Ausführungsformen wird ein Planarisierungsprozess (z. B. ein CMP-, Schleif- und oder Ätzprozess) verwendet, um überschüssiges Material der Dielektrikumschicht 605 zu entfernen. In einigen Ausführungsformen wird ein Planarisierungsprozess (z. B. ein CMP- oder Schleifprozess) verwendet, um überschüssiges Material der Dielektrikumschicht 608 zu entfernen. Nach der Planarisierung kann die Dielektrikumschicht 608 in einigen Ausführungsformen eine Dicke zwischen etwa 6 µm und etwa 25 µm aufweisen. Andere Dicken sind möglich.
  • Die 40 und 41 beschreiben die Bildung von Durchkontaktierungen 616 nach einigen Ausführungsformen. Die Durchkontaktierungen 616 erstrecken sich durch die Dielektrikumschicht 608, die Dielektrikumschicht 605 und die Oxidschicht 102B, um die Durchkontaktierungen 612 elektrisch zu kontaktieren. In 40 sind Öffnungen 615 nach einigen Ausführungsformen gebildet, die sich durch die Dielektrikumschicht 608, die Dielektrikumschicht 605 und die Oxidschicht 102B erstrecken, um die Durchkontaktierungen 612 freizulegen. Die Öffnungen 615 können durch annehmbare Fotolithografie- und Ätztechniken gebildet sein, wie etwa durch Bilden und Strukturieren eines Fotolack, gefolgt vom Ausführen eines Ätzprozesses unter Verwendung des strukturierten Fotolack als Ätzmaske. Der Ätzprozess kann beispielsweise einen Trockenätzprozess und/oder einen Nassätzprozess umfassen.
  • In 41 wird nach einigen Ausführungsformen ein leitfähiges Material in den Öffnungen 615 gebildet, wodurch die Durchkontaktierungen 616 gebildet werden. In einigen Ausführungsformen kann eine Auskleidung (nicht gezeigt), wie etwa eine Diffusionssperrschicht, eine Adhäsionsschicht oder dergleichen in den Öffnungen 615 aus TaN, Ta, TiN, Ti, CoW oder dergleichen gebildet sein und kann unter Verwendung eines geeigneten Abscheidungsprozesses wie ALD oder dergleichen gebildet sein. In einigen Ausführungsformen kann eine Seed-Schicht (nicht gezeigt), die Kupfer- oder eine Kupferlegierung umfassen kann, in dann den Öffnungen 615 abgeschieden werden kann. Das leitfähige Material der Durchkontaktierungen 616 wird in den Öffnungen 615 beispielsweise durch ECP oder elektrolytlose Beschichtung gebildet. Das leitfähige Material kann beispielsweise ein Metall oder eine Metalllegierung umfassen, wie etwa Kupfer, Silber, Gold, Wolfram, Kobalt, Aluminium oder Legierungen davon. Ein Planarisierungsprozess (z. B. ein CMP-Prozess oder ein Schleifprozess) kann ausgeführt werden, um überschüssiges leitfähiges Material entlang der oberen Fläche der Dielektrikumschicht 608 zu entfernen, sodass die oberen Flächen der Durchkontaktierungen 616 und der Dielektrikumschicht 608 eben sind. Die Durchkontaktierungen 616 erlauben die Übertragung von elektrischer Leistung oder elektrischen Signalen zur oder von der Umverteilungsstruktur 120.
  • In 42 sind leitfähige Pads 128 und leitfähige Verbinder 132 auf den Durchkontaktierungen 616 gebildet, die nach einigen Ausführungsformen das photonische Package 600 bilden. Der Träger 140 kann von der Struktur entfernt werden, und dann können leitfähige Pads 128 auf den Durchkontaktierungen 616 gebildet sein. Die leitfähigen Pads 128 können ähnlich wie in 14 beschrieben und in ähnlicher Weise gebildet sein. Auf den leitfähigen Pads 128 können dann leitfähige Verbinder 132 gebildet sein, die ähnlich wie in 14 beschrieben und in ähnlicher Weise gebildet sein können.
  • 43 illustriert ein photonisches System 700 nach einigen Ausführungsformen. Das photonische System 700 kann ähnlich wie das für 15 beschriebene photonische System 200 sein, mit der Ausnahme, dass ein photonisches Package 600 ähnlich dem für 42 beschriebenen verwendet wird. Das photonische System 700 umfasst ein oder mehrere photonische Packages 600 und ein oder mehrere Halbleitervorrichtungen 250, die an einem Interconnect-Substrat 202 befestigt sind. Das Interconnect-Substrat 202 und die Halbleitervorrichtungen 250 können ähnlich wie die zuvor für das photonische System 200 beschriebenen sein. Ein Lichtwellenleiter 150 kann an dem Interconnect-Substrat 202 befestigt und in das photonische Package 600 eingekoppelt werden. Beispielsweise kann der Lichtwellenleiter 150 optisch mit einem Randkoppler gekoppelt sein, der in einem oder mehreren der Wellenleiter 604 gebildet ist, oder sie kann optisch mit einem Randkoppler gekoppelt sein, der in einem oder mehreren der Wellenleiter 104 gebildet ist. Die Verwendung eines photonischen Packages 600 mit mehreren Sätzen von Wellenleitern (z. B. 104, 604) kann einen schnelleren und effizienteren Betrieb des photonischen Systems 700 erlauben.
  • Die 44 bis 50 illustrieren Zwischenschritte bei der Bildung eines photonischen Packages 900 nach einigen Ausführungsformen. Das photonische Package 900 (siehe 50) umfasst eine photonische Struktur 800, die in das photonische Package integriert ist. Die photonische Struktur 800 umfasst einen oder mehrere elektronische Dies 122 und Wellenleiter 104, und das photonische Package 900 umfasst einen oder mehrere Wellenleiter 920, die aus einem anderen Material als die Wellenleiter 104 hergestellt sind. Die Wellenleiter 920 können beispielsweise aus Siliziumnitrid, einem Polymer oder einem anderen Material hergestellt sein. In einigen Fällen können die Wellenleiter 920 aus einem Material gebildet sein, das weniger optische Verluste oder geringere Prozesskosten als die Wellenleiter 920 aufweist. So kann ein optisches Netzwerk aus den Wellenleitern 104 und den Wellenleitern 920 in einem photonischen Package 900 gebildet sein, was die Effizienz oder Leistung des photonischen Packages 900 verbessern kann.
  • 44 illustriert eine photonische Struktur 800 nach einigen Ausführungsformen. Die photonische Struktur 800 kann ähnlich wie die zuvor in 35 gezeigte Struktur sein. Die photonische Struktur 800 kann aus ähnlichen Materialien und mit ähnlichen Techniken gebildet sein wie die in 35 gezeigte Struktur. Beispielsweise kann die photonische Struktur 800 Wellenleiter 104 und photonische Komponenten 106 umfassen, die über einer Umverteilungsstruktur 120 gebildet sind, sowie ein oder mehrere elektronische Dies 122, die an der Umverteilungsstruktur 120 befestigt sind. Die photonische Struktur 800 kann auch Durchkontaktierungen 612 und eine Oxidschicht 102B umfassen, die in einigen Ausführungsformen ausgedünnt wurde. Die photonische Struktur 800 kann in einigen Ausführungsformen auch einen Träger 125 umfassen.
  • In 45 sind nach einigen Ausführungsformen Durchkontaktierungen 914 über einem Trägersubstrat 901 gebildet. Das Trägersubstrat 901 kann beispielsweise ein Wafer, ein Glasträgersubstrat, ein Keramikträgersubstrat oder dergleichen sein. In einigen Ausführungsformen kann eine Trennschicht (nicht gezeigt) auf dem Trägersubstrat 901 gebildet sein. Um die Durchkontaktierungen 914 zu bilden, kann beispielsweise eine Seed-Schicht (nicht gezeigt) über dem Trägersubstrat 901 gebildet sein. In einigen Ausführungsformen ist die Seed-Schicht eine Metallschicht, bei der es sich um eine einzelne Schicht oder eine aus verschiedenen Materialien gebildete Schicht mit mehreren Unterschichten handeln kann. In einer bestimmten Ausführungsform umfasst die Seed-Schicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seed-Schicht kann beispielsweise unter Verwendung von PVD oder dergleichen gebildet sein. Ein Fotolack wird auf der Seed-Schicht gebildet und strukturiert. Der Fotolack kann durch Spin-on-Beschichtung oder dergleichen gebildet sein und kann zum Strukturieren freigelegt werden. Die Struktur des Fotolack entspricht leitfähigen Durchkontaktierungen. Die Strukturierung bildet Öffnungen durch den Fotolack zum Belichten der Seed-Schicht. Ein leitfähiges Material wird in den Öffnungen des Fotolacks und an den freigelegten Abschnitten der Seed-Schicht gebildet. Das leitfähige Material kann durch Plattierung, wie etwa durch Elektroplattierung oder elektrolose Plattierung oder dergleichen gebildet sein. Das leitfähige Material kann ein Metall umfassen, wie etwa Kupfer, Titan, Wolfram, Aluminium oder dergleichen. Der Fotolack und Abschnitte der Seed-Schicht, auf der das leitfähige Material nicht gebildet wird, werden entfernt. Der Fotolack kann durch einen annehmbaren Aschen- oder Stripping-Prozess entfernt werden, wie etwa durch Verwendung von Sauerstoffplasma oder dergleichen. Wenn der Fotolack entfernt wird, werden freigelegte Abschnitte der Seed-Schicht entfernt, wie etwa durch Verwendung eines annehmbaren Ätzprozesses, wie etwa durch Nass- oder Trockenätzen. Die verbleibenden Abschnitte der Seed-Schicht und des leitfähigen Materials bilden die Durchkontaktierungen 914. Die Durchkontaktierungen 914 können eine andere Anzahl oder Anordnung aufweisen als gezeigt.
  • In 46 ist eine photonische Struktur 800 auf dem Trägersubstrat 901 befestigt und verkapselt nach einigen Ausführungsformen. Die photonische Struktur 800 kann ähnlich wie in 44 gezeigt sein. Die Anordnung der Durchkontaktierungen 914 und der photonischen Struktur 800 kann anders als gezeigt sein. Die photonische Struktur 800 kann beispielsweise durch eine Klebeschicht oder dergleichen auf dem Trägersubstrat 901 befestigt werden.
  • Nach dem Befestigen der photonischen Struktur 800 wird ein Verkapselungsmaterial 916 auf und um die Durchkontaktierungen 914 und die photonische Struktur 800 gebildet. Das Verkapselungsmaterial 916 kann eine Formmasse, Epoxid oder dergleichen sein. Das Verkapselungsmaterial 916 kann durch Formpressen, Spritzpressen oder dergleichen abgeschieden werden und kann über dem Trägersubstrat 901 gebildet sein, sodass die Durchkontaktierungen 914 und/oder die photonische Struktur 800 vergraben oder abgedeckt sind. Das Verkapselungsmaterial 916 kann in flüssiger oder halbflüssiger Form abgeschieden und nachfolgend gehärtet werden. Dann kann ein Planarisierungsprozess an dem Verkapselungsmaterial 916 ausgeführt werden, um die Durchkontaktierungen 914 freizulegen. Der Planarisierungsprozess kann auch eine Fläche der photonischen Struktur 800 freilegen, wie etwa den Träger 125 der photonischen Struktur. Obere Flächen der Durchkontaktierungen 914. Das Verkapselungsmaterial 916 und/oder die photonische Struktur 800 können nach dem Planarisierungsprozess innerhalb von Prozessschwankungen im Wesentlichen komplanar sein. Der Planarisierungsprozess kann beispielsweise ein chemisch-mechanisches Polieren (CMP), ein Schleifprozess oder dergleichen sein. In einigen Ausführungsformen kann die Planarisierung entfallen, beispielsweise wenn die Durchkontaktierungen 914 bereits freigelegt sind.
  • In 47 wird eine Umverteilungsstruktur 922 über dem Verkapselungsmaterial 916, den Durchkontaktierungen 914 und der photonischen Struktur 800 gebildet. Die Umverteilungsstruktur 922 umfasst Dielektrikumschichten 924, 928, 932 und 936 sowie Metallisierungsstrukturen 926, 930 und 934. Die Metallisierungsstrukturen können auch als Umverteilungsschichten oder Umverteilungsleitungen bezeichnet werden. Die Umverteilungsstruktur 922 ist als Beispiel mit drei Schichten von Metallisierungsstrukturen gezeigt, aber es können auch mehr oder weniger Dielektrikumschichten und Metallisierungsstrukturen in der Umverteilungsstruktur 922 gebildet sein. Wenn weniger Dielektrikumschichten und Metallisierungsstrukturen gebildet werden sollen, können Schritte und der Prozess wie nachfolgend besprochen wiederholt werden. Wenn mehr Dielektrikumschichten und Metallisierungsstrukturen gebildet werden sollen, können die oben besprochenen Schritte und Prozesse wiederholt werden.
  • Als Beispiel für die Bildung der Umverteilungsstruktur 922 wird die Dielektrikumschicht 924 auf dem Verkapselungsmaterial 916, den Durchkontaktierungen 914 und der photonischen Struktur 800 abgeschieden. In einigen Ausführungsformen wird die Dielektrikumschicht 924 aus einem photosensitiven Material wie PBO, Polyimid, BCB oder dergleichen, gebildet, das unter Verwendung einer Lithografiemaske strukturiert werden kann. Die Dielektrikumschicht 924 kann durch Spin-on Beschichtung, Laminierung, CVD, dergleichen oder einer Kombination daraus gebildet sein. Die Dielektrikumschicht 924 wird dann strukturiert. Die Strukturierung bildet Öffnungen, die Abschnitte der Durchkontaktierungen 914 freilegen. Die Strukturierung kann durch einen akzeptablen Prozess sein, wie etwa durch Belichtung und Entwicklung der Dielektrikumschicht 924, wenn die Dielektrikumschicht 924 ein photosensitives Material ist, oder durch Ätzen, beispielsweise mit einem anisotropen Ätzverfahren.
  • Die Metallisierungsstruktur 926 wird dann gebildet. Die Metallisierungsstruktur 926 umfasst leitfähige Elemente, die sich entlang der Hauptfläche der Dielektrikumschicht 924 erstrecken und sich durch die Dielektrikumschicht 924 hindurch erstrecken, um physisch und mit den Durchkontaktierungen 914 elektrisch zu koppeln. Als Beispiel für das Bilden der Metallisierungsstruktur 926 wird eine Seed-Schicht über der Dielektrikumschicht 924 und in den Öffnungen, die sich durch die Dielektrikumschicht 924 erstrecken, gebildet. In einigen Ausführungsformen ist die Seed-Schicht eine Metallschicht, bei der es sich um eine einzelne Schicht oder eine aus verschiedenen Materialien gebildete Schicht mit mehreren Unterschichten handeln kann. In einigen Ausführungsformen umfasst die Seed-Schicht eine Titanschicht und eine Kupferschicht über der Titanschicht. Die Seed-Schicht kann beispielsweise unter Verwendung von PVD oder dergleichen gebildet sein. Ein Fotolack wird dann auf der Seed-Schicht gebildet und strukturiert. Der Fotolack kann durch Spin-on-Beschichtung oder dergleichen gebildet sein und kann zum Strukturieren freigelegt werden. Die Struktur des Fotolack entspricht Metallisierungsstruktur 926. Die Strukturierung bildet Öffnungen durch den Fotolack zum Belichten der Seed-Schicht. Ein leitfähiges Material wird dann in den Öffnungen des Fotolack und an den freigelegten Abschnitten der Seed-Schicht gebildet. Das leitfähige Material kann durch Plattierung, wie etwa durch Elektroplattierung oder elektrolose Plattierung oder dergleichen gebildet sein. Das leitfähige Material kann ein Metall umfassen, wie etwa Kupfer, Titan, Wolfram, Aluminium oder dergleichen. Die Kombination des leitfähigen Materials und der darunterliegenden Abschnitte der Seed-Schicht bilden die Metallisierungsstruktur 926. Der Fotolack und Abschnitte der Seed-Schicht, auf der das leitfähige Material nicht gebildet wird, werden entfernt. Der Fotolack kann durch einen annehmbaren Aschen- oder Stripping-Prozess entfernt werden, wie etwa durch Verwendung von Sauerstoffplasma oder dergleichen. Wenn der Fotolack entfernt wird, werden freigelegte Abschnitte der Seed-Schicht entfernt, wie etwa durch Verwendung eines annehmbaren Ätzprozesses, wie etwa durch Nass- oder Trockenätzen.
  • Die verbleibenden Dielektrikumschichten 928/932/936 und Metallisierungsstrukturen 930/934 können dann auf ähnliche Weise gebildet sein. Die Dielektrikumschicht 928 wird beispielsweise auf die Metallisierungsstruktur 926 und die Dielektrikumschicht 924 abgeschieden. Die Dielektrikumschicht 928 kann in einer Weise gebildet werden, die der Dielektrikumschicht 924 ähnlich ist, und kann aus einem ähnlichen Material wie die Dielektrikumschicht 924 gebildet werden. Die Metallisierungsstruktur 930 wird dann gebildet. Die Metallisierungsstruktur 930 umfasst Abschnitte auf der Hauptfläche der Dielektrikumschicht 928 und erstreckt sich entlang dieser. Die Metallisierungsstruktur 930 umfasst ferner Abschnitte, die sich durch die Dielektrikumschicht 928 erstrecken, um das Metallisierungsmuster 926 physisch und elektrisch zu koppeln. Die Metallisierungsstruktur 930 kann in einer ähnlichen Weise und aus einem ähnlichen Material gebildet werden wie die Metallisierungsstruktur 926. In einigen Ausführungsformen weist die Metallisierungsstruktur 930 eine andere Größe auf als die Metallisierungsstruktur 1926. Beispielsweise können die Leiterbahnen und/oder Durchkontaktierungen der Metallisierungsstruktur 930 breiter oder dicker sein als die Leiterbahnen und/oder Durchkontaktierungen der Metallisierungsstruktur 926. Ferner kann die Metallisierungsstruktur 930 mit einem größeren Abstand gebildet sein als die Metallisierungsstruktur 926.
  • Die Dielektrikumschicht 932 und die Metallisierungsstruktur 934 können dann auf ähnliche Weise gebildet sein. Die Metallisierungsstruktur 934 ist die oberste Metallisierungsstruktur der Umverteilungsstruktur 92. So sind alle Zwischenmetallisierungsstrukturen der Umverteilungsstruktur 922 (z. B. die Metallisierungsstrukturen 926 und 930) zwischen der Metallisierungsstruktur 934 und den Durchkontaktierungen 914 angeordnet. In einigen Ausführungsformen weist die Metallisierungsstruktur 934 eine andere Größe auf als die Metallisierungsstrukturen 926 und 930. Beispielsweise können die Leiterbahnen und/oder Durchkontaktierungen der Metallisierungsstruktur 934 breiter oder dicker sein als die Leiterbahnen und/oder Durchkontaktierungen der Metallisierungsstrukturen 926 und 930. Ferner kann die Metallisierungsstruktur 934 mit einem größeren Abstand gebildet sein als die Metallisierungsstruktur 930. Die Dielektrikumschicht 936 ist die oberste Dielektrikumschicht der Umverteilungsstruktur 922.
  • In 48 wird die Struktur vom Trägersubstrat 901 entfernt, umgedreht und auf einem Trägersubstrat 903 befestigt. Nach einigen Ausführungsformen können auch leitfähige Verbinder 918 an den Durchkontaktierungen 914 und den Durchkontaktierungen 612 gebildet sein. Das Trägersubstrat 903 kann in einigen Ausführungsformen ähnlich wie das Trägersubstrat 901 sein. Die leitfähigen Verbinder 918 sind zur Verbindung mit der Umverteilungsstruktur 120 der photonischen Struktur 800 und zur Verbindung mit den Durchkontaktierungen 914 gebildet. Die leitfähigen Verbinder 918 können beispielsweise aus dem gleichen Material wie die Metallisierungsstrukturen der Umverteilungsstruktur 922 oder der Durchkontaktierungen 914 gebildet sein. In einigen Ausführungsformen können Öffnungen in der Oxidschicht 102B gebildet sein, wobei sich leitfähige Verbinder 918 durch die Oxidschicht 102B erstrecken, um die Durchkontaktierungen 612 physisch und elektrisch zu kontaktieren. Die Öffnungen in der Oxidschicht 102B können durch geeignete Fotolithografie- und Ätztechniken gebildet sein.
  • In 49 sind ein oder mehrere Wellenleiter 920 über der Oxidschicht 102B und dem Verkapselungsmaterial 916 gebildet. Die Wellenleiter 920 können in einigen Ausführungsformen ein Material wie etwa ein Polymer, Siliziumnitrid oder dergleichen umfassen. Die Wellenleiter 920 können beispielsweise ein Polymer wie PMMA, BCB oder dergleichen umfassen, obwohl in anderen Ausführungsformen auch andere Materialien verwendet werden können. Einer oder mehrere der Wellenleiter 920 können in einigen Ausführungsformen durch die Oxidschicht 102B optisch mit den Wellenleitern 104 gekoppelt sein. In einigen Ausführungsformen erstreckt sich einer oder mehrere der Wellenleiter 920 über das Verkapselungsmaterial 916 bis zur Kante der Struktur, sodass ein kantenmontierter Lichtwellenleiter 150 in diese Wellenleiter 920 eingekoppelt werden kann (siehe 51). In einigen Ausführungsformen können ein oder mehrere Wellenleiter 920 gebildet sein, die sich in eine Ritzregion erstrecken, sodass eine Seitenwand der Wellenleiter 920 während der Vereinzelung des photonischen Packages 900 freigelegt wird.
  • Die Wellenleiter 920 können beispielsweise gebildet sein, indem eine Schicht des Materials der Wellenleiter 920 (in den Figuren nicht separat gezeigt) über der Oxidschicht 102B und dem Verkapselungsmaterial 916 abgeschieden wird und dann die Materialschicht strukturiert wird, um die Wellenleiter 920 zu bilden. Die Materialschicht kann mit einer geeigneten Technik abgeschieden werden, wie etwa mit einer Spin-On-Beschichtungstechnik, wobei jedoch auch andere Techniken möglich sind. In einigen Ausführungsformen sind die Wellenleiter 920 mit einer Dicke im Bereich von ca. 3 µm bis ca. 6 µm gebildet, wobei jedoch auch andere Dicken möglich sind.
  • Die Wellenleiter 920 können mit akzeptablen Fotolithografie- und Ätztechniken strukturiert werden. In einigen Ausführungsformen kann beispielsweise eine Hartmaskenschicht über der Materialschicht gebildet und strukturiert werden. Die Struktur der Hartmaskenschicht kann dann durch einen Ätzprozess auf die Materialschicht übertragen werden. Der Ätzprozess kann beispielsweise einen Trockenätzprozess und/oder einen Nassätzprozess umfassen. Die Materialschicht kann geätzt werden, um Ausschnitte zu bilden, die die Wellenleiter 920 definieren, wobei die Seitenwände der verbleibenden nicht ausgeschnittenen Abschnitte die Seitenwände der Wellenleiter 920 definieren. In einigen Ausführungsformen kann mehr als eine Fotolithografie- und Ätzsequenz verwendet werden, um die Materialschicht zu strukturieren. Ein Wellenleiter 920 oder mehrere Wellenleiter 920 können aus der Materialschicht strukturiert werden. Wenn mehrere Wellenleiter 920 gebildet werden, können die mehreren Wellenleiter 920 einzelne separate Wellenleiter 920 sein oder als eine einzige fortlaufende Struktur verbunden sein. In einigen Ausführungsformen bilden ein oder mehrere der Wellenleiter 920 eine fortlaufende Schleife. In einigen Ausführungsformen können die Wellenleiter 920 photonische Strukturen wie Gitterkoppler, Randkoppler oder Koppler (z. B. Moduswandler) umfassen, die die Übertragung optischer Signale zwischen zwei Wellenleitern 920 und/oder zwischen einem Wellenleiter 920 und einem Wellenleiter 104 erlauben. Beispielsweise kann ein Wellenleiter 920 einen Randkoppler umfassen, der die Übertragung von optischen Signalen und/oder optischer Leistung zwischen dem Wellenleiter 920 und beispielsweise einem an der Kante montierten Lichtwellenleiter 150 ermöglicht.
  • In einigen Fällen kann ein aus einem Polymermaterial gebildeter Wellenleiter (z. B. Wellenleiter 920) Vorteile gegenüber einem aus Silizium gebildeten Wellenleiter (z. B. Wellenleiter 104) aufweisen. Beispielsweise kann das Polymermaterial eine höhere Dielektrizitätskonstante als Silizium haben, und somit kann ein Polymerwellenleiter eine größere innere Begrenzung des Lichts haben als ein Siliziumwellenleiter. Dies kann auch dazu führen, dass die Leistung oder Leck von Nitridwellenleitern weniger empfindlich auf Prozessschwankungen, weniger empfindlich auf Maßeinheitlichkeit und weniger empfindlich auf Flächenrauheit (z. B. Kantenrauheit oder Linienbreitenrauheit) ist. In einigen Fällen kann die verringerte Prozessempfindlichkeit dazu führen, dass Polymerwellenleiter einfacher oder kostengünstiger zu verarbeiten sind als Siliziumwellenleiter. Diese Eigenschaften können dazu führen, dass ein Polymerwellenleiter einen geringeren Ausbreitungsverlust als ein Siliziumwellenleiter aufweist. So können die hier beschriebenen Ausführungsformen die Bildung eines photonischen Packages 900 erlauben, das sowohl Polymer-Wellenleiter (z. B. Wellenleiter 920) als auch Siliziumwellenleiter (z. B. Wellenleiter 104) aufweist. Die mehreren Sätze von Wellenleitern (z. B. 104, 920) in dem photonischen Package 900 können ein größeres und effizienteres optisches Netzwerk innerhalb des photonischen Packages 900 erlauben, was zu einer schnelleren und effizienteren Vorrichtungsleistung führen kann.
  • In 50 ist nach einigen Ausführungsformen eine Umverteilungsstruktur 940 über den leitfähigen Verbindern 918 und den Wellenleitern 920 gebildet. Die in 50 gezeigte Umverteilungsstruktur 940 umfasst Dielektrikumschichten 942/946 und einer Metallisierungsstruktur 944. In anderen Ausführungsformen kann die Umverteilungsstruktur 940 eine andere Anzahl von Dielektrikumschichten und Metallisierungsstrukturen aufweisen als gezeigt. Die Dielektrikumschichten 942/946 und die Metallisierungsstruktur 944 können den Dielektrikumschichten und Metallisierungsstrukturen der Umverteilungsstruktur 922 (siehe 47) ähnlich sein und können auf ähnliche Weise gebildet sein. Die Metallisierungsstruktur 944 ist so gebildet, dass es mit den leitfähigen Verbindern 918 physisch und elektrisch verbunden ist und somit eine elektrische Verbindung zu den Durchkontaktierungen 914 und/oder der photonischen Struktur 800 herstellt.
  • In einigen Ausführungsformen werden Unterbumpmetallisierungen (UBMs) 947 auf der Umverteilungsstruktur 940 gebildet. Die UBMs 947 können Bump-Abschnitte an der Hauptfläche der Dielektrikumschicht 946 aufweisen und sich entlang dieser erstrecken und können Durchkontaktierungsabschnitte aufweisen, die sich durch die Dielektrikumschicht 946 erstrecken, um physisch und elektrisch die Metallisierungsstruktur 944 zu koppeln. Dadurch sind die UBMs 947 elektrisch mit den Durchkontaktierungen 914 und der photonischen Struktur 800 gekoppelt. Die UBMs 947 können aus demselben Material wie die Metallisierungsstruktur 944 gebildet sein. In einigen Ausführungsformen weist das UBMs 947 eine andere Größe als die Metallisierungsstruktur 944 auf.
  • In einigen Ausführungsformen sind ein oder mehrere Halbleitervorrichtungen 250 mit den UBMs 947 verbunden. Die Halbleitervorrichtungen 250 können ähnlich wie die zuvor beschriebenen sein. Die Halbleitervorrichtungen 250 können mit den UBMs 947 über leitfähige Verbinder 948 verbunden werden, die beispielsweise Lötbumps oder dergleichen sein können. Zwischen den Halbleitervorrichtungen 250 und der Umverteilungsstruktur 940 kann eine Unterfüllung 210 abgeschieden werden. Das photonische Package 900 kann nachfolgend vom Trägersubstrat 903 entfernt werden, und UBMs 956 und leitfähige Verbinder 958 (siehe 51) können auf der Umverteilungsstruktur 922 gebildet sein. Die UBMs 956 können ähnlich wie die zuvor beschriebenen leitfähigen Pads 128 oder UBMs 947 sein, und die leitfähigen Verbinder 958 können ähnlich wie die zuvor beschriebenen leitfähigen Verbinder 132 sein.
  • 51 illustriert ein photonisches System 950 nach einigen Ausführungsformen. Das photonische System 950 umfasst ein oder mehrere photonische Packages 900, die an einem Interconnect-Substrat 952 befestigt sind. Das photonische Package 900 kann ähnlich aufgebaut sein wie in 50 beschrieben. Das Interconnect-Substrat 952 kann ähnlich wie das in 15 beschriebene Interconnect-Substrat 202 sein. Beispielsweise kann das Interconnect-Substrat 952 eine oder mehrere leitfähige Pads 954 umfassen, die den leitfähigen Pads 204 des Interconnect-Substrats 202 ähnlich sind. Die leitfähigen Verbinder 958 des photonischen Packages 900 können mit den leitfähigen Pads 954 des Interconnect-Substrats 952 verbunden werden, wodurch elektrische Verbindungen zwischen dem photonischen Package 900 und dem Interconnect-Substrat 952 gebildet werden. Beispielsweise können die leitfähigen Verbinder 958 des photonischen Packages 900 in physischen Kontakt mit den leitfähigen Pads 954 platziert werden, und dann kann ein Aufschmelzprozess ausgeführt werden, um Lötmaterial der leitfähigen Verbinder 958 mit den leitfähigen Pads 954 zu verbinden. In einigen Ausführungsformen kann eine Unterfüllung 210 zwischen dem photonischen Package 900 und dem Interconnect-Substrat 952 gebildet sein. In einigen Ausführungsformen kann ein Lichtwellenleiter 150 an dem Interconnect-Substrat 952 befestigt und optisch mit einem Wellenleiter 104 gekoppelt sein.
  • Die 52 bis 55 illustrieren Zwischenschritte bei der Bildung eines photonischen Systems 1000 nach einigen Ausführungsformen. Das photonische System 1000 ähnelt dem in 51 gezeigten photonischen System 950, mit dem Unterschied, dass das photonische System 1000 mehrere photonische Strukturen 800A bis B umfasst, die mit Halbleitervorrichtungen 250A bis B verbunden sind und unter Verwendung optischer Signale kommunizieren, die über ein optisches Netzwerk übertragen werden, das aus den Wellenleitern 920 gebildet ist. Die Verwendung eines optischen Netzwerks von Wellenleitern 920 für die Interkommunikation kann eine verbesserte Geschwindigkeit und Effizienz des photonischen Systems 1000 erlauben. 55 illustriert ein photonisches System 1000 mit zwei photonischen Strukturen 800A bis B, aber in anderen Ausführungsformen kann eine andere Anzahl von photonischen Strukturen 800 vorhanden sein.
  • 52 illustriert eine Struktur mit mehreren photonischen Strukturen 800A bis B und Durchkontaktierungen 914, die auf einer Umverteilungsstruktur 1022 gebildet sind, nach einigen Ausführungsformen. Die in 51 gezeigte Struktur ähnelt der in 48 gezeigten Struktur, mit Ausnahme der mehreren photonischen Strukturen 800A bis B. Die in 52 gezeigte Struktur umfasst beispielsweise Durchkontaktierungen 914, die den in 48 gezeigten Durchkontaktierungen 914 ähnlich sein können und auf ähnliche Weise gebildet sein können. Die in 52 gezeigte Umverteilungsstruktur 1022 ist der Umverteilungsstruktur 922 aus 48 ähnlich und kann auf ähnliche Weise gebildet sein. Ähnlich wie bei der in 48 gezeigten Struktur sind die Durchkontaktierungen 914 und die photonischen Strukturen 800A bis B mit einem Verkapselungsmaterial 916 verkapselt, und an den Durchkontaktierungen 914 und an den Durchkontaktierungen 612 der photonischen Strukturen 800A bis B sind leitfähige Verbinder 918 gebildet.
  • In 53 sind ein oder mehrere Wellenleiter 920 über den photonischen Strukturen 800A bis B gebildet. Die Wellenleiter 920 können den zuvor für 49 beschriebenen Wellenleitern 920 ähnlich sein und können auf ähnliche Weise gebildet sein. Die Wellenleiter 920 können beispielsweise aus einem Polymermaterial gebildet sein, wie es zuvor für 49 beschrieben wurde, aber auch andere Materialien sind möglich. Einige der Wellenleiter 920 können sich über einige der Wellenleiter 104 der photonischen Strukturen 800A bis B erstrecken und einige der Wellenleiter 920 können optisch mit den Wellenleitern 104 gekoppelt sein. So können die Wellenleiter 920 ein optisches Netzwerk bilden, das sich zwischen den photonischen Strukturen 800A bis B erstreckt und optische Signale zwischen den photonischen Strukturen 800A bis B überträgt.
  • In 54 wird eine Umverteilungsstruktur 1040 gebildet und Halbleitervorrichtungen 250A bis B werden nach einigen Ausführungsformen mit der Umverteilungsstruktur 1040 verbunden. Die Umverteilungsstruktur 1040 ist ähnlich wie die in 49 gezeigte Umverteilungsstruktur 940 und kann auf ähnliche Weise gebildet sein. Beispielsweise. Die Umverteilungsstruktur 1040 kann eine elektrische Verbindung mit den leitfähigen Verbindern 918 herstellen. Die Halbleitervorrichtungen 250A bis B können ähnlich wie die zuvor beschriebenen Halbleitervorrichtungen 250 sein. Die Halbleitervorrichtungen 250A bis B können mit der Umverteilungsstruktur 1040 in ähnlicher Weise verbunden werden, wie dies für 50 beschrieben ist. Beispielsweise können die Halbleitervorrichtungen 250A bis B mit UBMs verbunden werden, die auf der Umverteilungsstruktur 1040 gebildet sein, beispielsweise mit Hilfe von Lötbumps oder dergleichen. In einigen Ausführungsformen können die Halbleitervorrichtungen 250A bis B jeweils mit der Umverteilungsstruktur 120 einer entsprechenden photonischen Struktur 800A bis B verbunden sein. So können die Halbleitervorrichtungen 250A bis B mit den photonischen Strukturen 800A bis B kommunizieren. In einigen Ausführungsformen können die photonischen Strukturen 800A bis B die Kommunikation zwischen den Halbleitervorrichtungen 250A bis B erleichtern, indem sie optische Signale über die Wellenleiter 920 senden und empfangen.
  • In 55 ist die Umverteilungsstruktur 1022 mit einem Interconnect-Substrat 1002 verbunden und bildet das photonische System 1000. Das Interconnect-Substrat 1002 kann ähnlich wie das in 51 beschriebene Interconnect-Substrat 952 sein. Beispielsweise kann das Interconnect-Substrat 1002 leitfähige Pads 1004 umfassen. In einigen Ausführungsformen können UBMs 956 und leitfähige Verbinder 958 auf der Umverteilungsstruktur 1022 gebildet sein. Die UBMs 956 können über die leitfähigen Verbinder 958 mit den leitfähigen Pads 1004 verbunden sein. So kann ein photonisches System 1000 gebildet sein, das eine effiziente und schnelle optische Kommunikation zwischen mehreren Halbleitervorrichtungen 250A bis B unter Verwendung von Wellenleitern 920 ermöglicht.
  • Die 56 bis 63 illustrieren Zwischenschritte bei der Bildung eines photonischen Systems 1100 nach einigen Ausführungsformen. Das photonische System 1100 (siehe 63) umfasst mehrere photonische Packages 1101 (siehe 56 oder die photonischen Packages 1101A bis B in 56), die an der photonischen Interconnect-Struktur 1111 (siehe 59) befestigt sind. Die photonische Interconnect-Struktur 1111 umfasst Wellenleiter 1106, die optisch mit den photonischen Packages 1101 gekoppelt sind und eine optische Kommunikation zwischen den photonischen Packages 1101 erlauben. So kann eine effiziente und schnelle optische Kommunikation zwischen den photonischen Packages 1101 erreicht werden. 63 illustriert ein photonisches System 1000 mit zwei photonischen Packages 1101A bis B, aber in anderen Ausführungsformen kann eine andere Anzahl von photonischen Packages 1101 vorhanden sein.
  • 56 zeigt ein photonisches Package 1101 nach einigen Ausführungsformen. Das photonische Package 1101 ähnelt dem in 14 gezeigten photonischen Package 100, mit dem Unterschied, dass das photonische Package 1101 Kontaktpads 136 umfasst, die in einer Dielektrikumschicht 134 gebildet sind. Das photonische Package 1101 umfasst beispielsweise Wellenleiter 104, Gitterkoppler 107A bis B, Durchkontaktierungen 112 und einer Dielektrikumschicht 130. Die Kontaktpads 136 können ähnlich wie die in 6 beschriebenen leitfähigen Pads 116 sein, und die Dielektrikumschicht 134 kann ähnlich wie die in 6 beschriebenen Dielektrikumschichten 117 sein. Beispielsweise kann die Dielektrikumschicht 134 über dem Substrat 102C, den Durchkontaktierungen 112 und der Dielektrikumschicht 130 gebildet sein, Öffnungen können in die Dielektrikumschicht 134 strukturiert werden, und dann kann das leitfähige Material der Kontaktpads 136 in den Öffnungen gebildet sein. Andere Bildungstechniken sind möglich.
  • Das photonische Package 1101 kann nach einigen Ausführungsformen optionale Merkmale wie Reflektoren 109 und eine Beschichtung 123 auf dem Träger 125 umfassen. Die Reflektoren 109 können in der Nähe eines entsprechenden Gitterkopplers 107 gebildet sein, um die optische Kopplung zwischen dem Gitterkoppler 107 und einer anderen Komponente wie einem Koppler oder einem Lichtwellenleiter zu verbessern. Das in 56 gezeigte photonische Package 1101 umfasst beispielsweise einen Reflektor 109A, der unterhalb des Gitterkopplers 107A gebildet ist, um die Kopplung zwischen dem Gitterkoppler 107A und einem Lichtwellenleiter 150 oberhalb des Gitterkopplers 107A zu verbessern (siehe 63), und einen Reflektor 109B, der oberhalb des Gitterkopplers 107B gebildet ist, um die Kopplung zwischen dem Gitterkoppler 107B und einem Gitterkoppler 1107 der photonischen Interconnect-Struktur 1111 unterhalb des Gitterkopplers 107B zu verbessern (siehe 63). Die Reflektoren 109 können eine oder mehrere Schichten aus einem Material wie einem Metall (z. B. Kupfer oder dergleichen), Titannitrid oder dergleichen oder Kombinationen daraus umfassen. In einigen Ausführungsformen können die Reflektoren 109 einen periodischen Stapel von Dielektrikumschichten umfassen, wie etwa einem Stapel, der Schichten aus Siliziumoxid, Siliziumnitrid oder dergleichen umfasst. Beispielsweise kann der Reflektor 109A durch Abscheiden eines Materials auf die Oxidschicht 102B und anschließendes Strukturieren des Materials gebildet sein, und der Reflektor 109B kann durch Aufbringen eines Materials auf die Dielektrikumschicht 108A und anschließendes Strukturieren des Materials gebildet sein. Andere Bildungstechniken sind möglich.
  • Die optionale Beschichtung 123, die auf dem Träger 125 gebildet ist, kann beispielsweise eine Antireflexionsbeschichtung sein. Die Beschichtung 123 kann über die gesamte Fläche des Trägers 125, wie in 56 gezeigt, oder auf einem Abschnitt des Trägers 125 gebildet sein. Bei Ausführungsformen, bei denen ein Lichtwellenleiter 150 an dem Träger 125 befestigt ist und optisch durch den Träger 125 kommuniziert (z. B. optische Fasern 150A bis B in 63), kann die Verwendung einer Beschichtung 123 optische Verluste oder Reflexionen zwischen der Faser 150 und dem Träger 125 verringern. Bei Ausführungsformen, in denen der Träger 125 aus Silizium besteht, kann die Beschichtung 123 beispielsweise eine oder mehrere Schichten aus Siliziumoxid, Siliziumnitrid, Polymer, BCB oder dergleichen oder Kombinationen davon umfassen. Die Beschichtung 123 ist in den 57 bis 63 aus Gründen der Übersichtlichkeit weggelassen.
  • 57 illustriert die Bildung von Wellenleitern 1106 auf einem Substrat 1102 nach einigen Ausführungsformen. Das Substrat 1102 kann ein ähnliches Substrat sein, wie es für das Substrat 102C in 1 beschrieben ist. In einigen Ausführungsformen kann das Substrat 1102 beispielsweise ein Wafer sein, wie ein Silizium-Wafer (z. B. ein 12-Zoll-Silizium-Wafer), eine andere Art von Halbleiter-Wafer, ein Mehrschicht-Substrat, wie ein BOX-Substrat, oder dergleichen. Eine Dielektrikumschicht 1104 kann über dem Substrat 1102 gebildet sein. Die Dielektrikumschicht 1104 kann ein oder mehrere Materialien umfassen, die denen ähnlich sind, die für die in 3 beschriebene Dielektrikumschicht 108 beschrieben wurden, und sie kann in ähnlicher Weise wie für die Dielektrikumschicht 108 beschrieben gebildet sein.
  • Die Wellenleiter 1106 können auf der Dielektrikumschicht 1104 gebildet sein. Die Wellenleiter 1106 können den Wellenleitern 104 aus 2, den Wellenleitern 604A aus 37 oder den Wellenleitern 920 aus 49 ähnlich sein und können mit ähnlichen Techniken gebildet sein. In einigen Ausführungsformen werden die Wellenleiter 1106 beispielsweise durch Abscheiden einer Schicht aus Siliziumnitrid über der Dielektrikumschicht 1104 und anschließendes Strukturieren der Schicht aus Siliziumnitrid gebildet, um Wellenleiter 1106 zu bilden, die Siliziumnitrid umfassen. Andere Materialien oder Techniken sind möglich. In einigen Ausführungsformen können Gitterkoppler 1107 in den Wellenleitern 1106 gebildet sein. 57 zeigt drei Gitterkoppler 1107A bis C, aber in anderen Ausführungsformen kann eine andere Anzahl von Gitterkopplern 1107 gebildet sein. Nach der Bildung der Wellenleiter 1106 kann eine Dielektrikumschicht 1108 über den Wellenleitern 1106 und der Dielektrikumschicht 1104 gebildet sein. Die Dielektrikumschicht 1108 kann aus einem ähnlichen Material bestehen, wie es für die Dielektrikumschicht 108 beschrieben wurde, und kann auf ähnliche Weise gebildet sein.
  • In 58 sind nach einigen Ausführungsformen Durchkontaktierungen 1110 gebildet. Die Durchkontaktierungen 1110 können ähnlich wie die in 5 gezeigten Durchkontaktierungen 112 sein und können auf ähnliche Weise gebildet sein. Beispielsweise können Öffnungen gebildet sein, die sich in das Substrat 1102 erstrecken, und dann kann leitfähiges Material in den Öffnungen abgeschieden werden.
  • In 59 kann eine Umverteilungsstruktur 1112 über den Wellenleitern 1106, der Dielektrikumschicht 1108 und den Durchkontaktierungen 1110 gebildet sein, um eine photonische Interconnect-Struktur 1111 zu bilden, nach einigen Ausführungsformen. Die Umverteilungsstruktur 1112 kann mit ähnlichen Techniken gebildet sein, wie sie für die Umverteilungsstruktur 120 beschrieben und in 6 gezeigt sind. Beispielsweise kann die Umverteilungsstruktur 1112 mehrere Metallisierungsstrukturen und Dielektrikumschichten umfassen und mit den Durchkontaktierungen 1110 elektrisch verbunden sein.
  • Noch immer mit Verweis auf 59, können Abschnitte der Umverteilungsstruktur 1112 entfernt und durch Dielektrikumsregionen 1114 ersetzt werden, wie es in einigen Ausführungsformen der Fall ist. Die Dielektrikumsregionen 1114 können oberhalb von Gitterkopplern 1107 gebildet sein, sodass optische Signale durch die Dielektrikumsregionen 1114 zu den Gitterkopplern 1107 übertragen werden können. Die Dielektrikumsregionen 1114 können ein oder mehrere Materialien umfassen, die denen ähnlich sind, die für die in 3 beschriebene Dielektrikumschicht 108 beschrieben wurden, und sie kann in ähnlicher Weise wie für die Dielektrikumschicht 108 beschrieben gebildet sein. In einigen Ausführungsformen können die Dielektrikumsregionen 1114 beispielsweise Siliziumoxid oder dergleichen umfassen, wobei jedoch auch andere Materialien möglich sind.
  • In 60 sind nach einigen Ausführungsformen ein oder mehrere photonische Packages 1101, Halbleitervorrichtungen 250 und/oder Dummy-Vorrichtungen 1120 an der photonischen Interconnect-Struktur 1111 befestigt. Die in 60 gezeigten photonischen Packages 1101A bis B und die Halbleitervorrichtung 250 können dem in 56 gezeigten photonischen Package 1101 bzw. den zuvor beschriebenen Halbleitervorrichtungen 250 ähnlich sein. In einigen Ausführungsformen ist die Dummy-Vorrichtung 1120 ein Dummy-Die, ein Materialblock oder dergleichen, der nachfolgend ausgeschnitten wird, um die Befestigung einem Lichtwellenleiter 150C zu erleichtern (siehe 62-63). In einigen Ausführungsformen kann die Dummy-Vorrichtung 1120 beispielsweise Silizium, Siliziumoxid, Polymer oder dergleichen oder Kombinationen davon umfassen. In 60 sind zwei photonische Packages 1101A bis B, eine Halbleitervorrichtung 250 und eine Dummy-Vorrichtung 1120 illustriert, aber in anderen Ausführungsformen können mehr oder weniger vorhanden sein. Beispielsweise weist in einigen Ausführungsformen jedes photonische Package 1101, das an der photonischen Interconnect-Struktur 1111 befestigt ist, eine assoziierte Halbleitervorrichtung 250 auf, die an der photonischen Interconnect-Struktur 1111 befestigt ist.
  • Die photonischen Packages 1101A bis B, die Halbleitervorrichtung 250 und die Dummy-Vorrichtung 1120 können durch direktes Bonden oder dergleichen befestigt werden. In einigen Ausführungsformen kann die Dummy-Vorrichtung 1120 mit einer anderen Technik befestigt werden als die photonischen Packages 1101A bis B und/oder die Halbleitervorrichtung 250. In einigen Ausführungsformen wird ein Verkapselungsmaterial 1122 auf und um die photonischen Packages 1101A bis B, die Halbleitervorrichtung 250 und die Dummy-Vorrichtung 1120 gebildet. Die Vergussmasse 1122 kann eine Formmasse, Epoxid oder dergleichen sein und kann ähnlich wie das für 46 beschriebene Verkapselungsmaterial 916 sein.
  • In 61 wird das Substrat 1102 ausgedünnt, um die Durchkontaktierungen 1110 freizulegen, und auf den freigelegten Durchkontaktierungen 1110 werden nach einigen Ausführungsformen leitfähige Pads 1124 und leitfähige Verbinder 1126 gebildet. Das Substrat 1102 kann mit einem geeigneten Verfahren ausgedünnt werden, wie etwa mit einem CMP-Prozess, einem Schleifprozess, einem Ätzprozess oder dergleichen oder einer Kombination davon. Die leitfähigen Pads 1124 können ähnlich wie die in 14 beschriebenen leitfähigen Pads 128 sein und können auf ähnliche Weise gebildet sein. Die leitfähigen Verbinder 1126 können ähnlich wie die in 14 beschriebenen leitfähigen Verbinder 132 sein und in ähnlicher Weise gebildet sein. Über die leitfähigen Verbinder 1126 können externe Komponenten an die photonische Interconnect-Struktur 1111 verbunden werden. So können die externen Komponenten elektrische Signale und/oder elektrische Leistung an die Umverteilungsstruktur 1112 und damit an die photonischen Packages 1101A bis B und Halbleitervorrichtungen 250 übertragen.
  • Mit Verweis auf 61 kann nach einigen Ausführungsformen ein Planarisierungsprozess für das Verkapselungsmaterial 1122 ausgeführt werden. Der Planarisierungsprozess kann Flächen der photonischen Package 1101A bis B (z. B. Flächen der Träger 125 oder der Beschichtung 123), Flächen der Halbleitervorrichtungen 250 und/oder Flächen der Dummy-Vorrichtungen 1120 freilegen. Das Planarisierungsverfahren kann einen CMP-Prozess, einen Schleifprozess, einen Ätzprozess oder dergleichen oder Kombinationen davon umfassen.
  • In 62 ist nach einigen Ausführungsformen ein Ausschnitt 1140 in der Dummy-Vorrichtung 1120 gebildet. 62 zeigt auch die photonische Interconnect-Struktur 1111, die mit einem Interconnect-Substrat 1130 verbunden ist und das photonische System 1100 bildet. Der Ausschnitt 1140 in der Dummy-Vorrichtung 1120 kann mit einem Ätzprozess, wie etwa einem Nassätzprozess, einem Trockenätzprozess oder einer Kombination davon, gebildet sein. Der Ausschnitt 1140 kann in einigen Ausführungsformen die Dielektrikumsregion 1114 unterhalb der Dummy-Vorrichtung 1120 freilegen. Das Belichten der Dielektrikumsregion 1114 auf diese Weise ermöglicht die optische Kopplung eines Lichtwellenleiters 150 (z. B. des Lichtwellenleiters 150C aus 63) mit dem Gitterkoppler 1107C. Das Interconnect-Substrat 1130 kann ähnlich wie das in 51 beschriebene Interconnect-Substrat 952 sein. Beispielsweise kann das Interconnect-Substrat 1130 leitfähige Pads 1134 umfassen, und die leitfähigen Verbinder 1126 können an den leitfähigen Pads 1134 befestigt werden. Eine Unterfüllung 210 kann zwischen dem Substrat 1102 und dem Interconnect-Substrat 1130 abgeschieden werden.
  • In 63 sind die Lichtwellenleiter 150A bis C nach einigen Ausführungsformen an dem photonische System 1100 befestigt. 63 zeigt einen Lichtwellenleiter 150A, der am photonischen Package 1101A befestigt ist, einen Lichtwellenleiter 150B, der am photonischen Package 1101B befestigt ist, und einen Lichtwellenleiter 150C, der in dem Ausschnitt 1140 der Dummy-Vorrichtung 1120 befestigt ist. In anderen Ausführungsformen können mehr oder weniger Lichtwellenleiter 150 an mehr oder weniger photonischen Packages 1101 befestigt werden. Zur Befestigung der Lichtwellenleiter 150A bis C kann ein optischer Kleber 212 oder dergleichen verwendet werden.
  • In der gezeigten Ausführungsform ist der Lichtwellenleiter 150A am Träger 125 des photonischen Packages 1101A befestigt und optisch mit dem Gitterkoppler 107A des photonischen Packages 1101A gekoppelt, und der Lichtwellenleiter 150B ist am Träger 125 des photonischen Packages 1101B befestigt und optisch mit dem Gitterkoppler 107A des photonischen Packages 1101B gekoppelt. In einigen Ausführungsformen können optische Signale und/oder optische Leistung durch den Träger 125 und andere Dielektrikumschichten der photonischen Packages 1101A bis B übertragen werden, um eine optische Kommunikation zwischen den Gitterkopplern 107A der photonischen Packages 1101A bis B und den Lichtwellenleitern 150A bis B zu erlauben.
  • Die photonischen Packages 1101A bis B können Reflektoren 109A umfassen, um die optische Kopplung zwischen den Gitterkopplern 107A und den Lichtwellenleitern 150A bis B zu erleichtern.
  • Der Lichtwellenleiter 150C ist nach einigen Ausführungsformen innerhalb des Ausschnitts 1140 am photonischen System 1100 befestigt und optisch mit dem Gitterkoppler 1107C der Wellenleiter 1106 gekoppelt. Optische Signale und/oder optische Leistung können durch die Dielektrikumsregion 1114 übertragen werden, um eine optische Kommunikation zwischen dem Gitterkoppler 1107C und dem Lichtwellenleiter 150C zu erlauben. So kann der Lichtwellenleiter 150C über den Gitterkoppler 1107C optisch mit den Wellenleitern 1106 gekoppelt werden. In einigen Ausführungsformen werden die an den photonischen Packages 1101 befestigten Lichtwellenleitern 150A bis B verwendet, um optische Signale über die photonischen Packages 1101A bis B mit dem photonischen System 1100 zu übertragen, und der Lichtwellenleiter 150C wird verwendet, um optische Leistung über die Wellenleiter 1106 an das photonische System 1100 zu übertragen.
  • In einigen Ausführungsformen sind die Gitterkoppler 107B der photonischen Packages 1101A bis B optisch mit den Gitterkopplern 1107A bis B der Wellenleiter 1106 gekoppelt. Optische Signale und/oder optische Leistung können durch die Dielektrikumsregionen 1114 übertragen werden, um eine optische Kommunikation zwischen den Gitterkopplern 1107A bis B und den Gitterkopplern 107B zu erlauben. So können die photonischen Packages 1101A bis B durch die Gitterkoppler 107B und die Gitterkoppler 1107A bis B optisch mit den Wellenleitern 1106 gekoppelt werden. Die photonischen Packages 1101A bis B können Reflektoren 109A umfassen, die die optische Kopplung zwischen den Gitterkopplern 107B und den Gitterkopplern 1107A bis B erleichtern.
  • So können in einigen Ausführungsformen die photonischen Packages 1101 eines photonischen Systems 1100 über die Umverteilungsstruktur 1112 mit den Halbleitervorrichtungen 250 des photonischen Systems 1100 elektrisch verbunden sein. Die photonischen Packages 1101 können auch optisch mit den Wellenleitern 1106 des photonischen Systems 1100 gekoppelt sein, um die optische Kommunikation zwischen den verschiedenen Halbleitervorrichtungen 250 des photonischen Systems 1100 zu erlauben. Die Umverteilungsstruktur 1112 und die Wellenleiter 1106 sind ein Abschnitt einer photonischen Interconnect-Struktur 1111 des photonischen Systems 1100, die sowohl elektrische Kommunikation als auch optische Kommunikation innerhalb des photonischen Systems 1100 ermöglicht. Die photonischen Packages 1101 können optisch mit Lichtwellenleitern 150 gekoppelt werden, um die optische Kommunikation zwischen den Halbleitervorrichtungen 250 und externen Komponenten zu erlauben. Die Wellenleiter 1106 können auch optisch mit einer oder mehreren Lichtwellenleitern 150 gekoppelt sein, die die Wellenleiter 1106 mit optischer Leistung versorgen. Die Wellenleiter 1106 können in manchen Fällen einen Abschnitt der optischen Leistung zu den photonischen Packages 1101 übertragen. So kann ein photonisches System 1100 gebildet sein, das eine effiziente und schnelle optische Kommunikation zwischen mehreren Halbleitervorrichtungen 250 und zu externen Komponenten unter Verwendung der photonischen Package 1101 und der Wellenleiter 1106 ermöglicht.
  • 64 illustriert ein photonisches System 1150 nach einigen Ausführungsformen. Das photonische System 1150 ähnelt dem in 63 gezeigten photonischen System 1100, mit der Ausnahme, dass die photonische Interconnect-Struktur 1151 des photonischen Systems 1150 Wellenleiter 1106 umfasst, die in denselben strukturellen Schichten wie die Umverteilungsstruktur 1112 gebildet sind, und dass die photonischen Packages 1101A bis B, die Halbleitervorrichtungen 250 und die Dummy-Vorrichtungen 1120 an der photonischen Interconnect-Struktur 1151 durch leitfähige Verbinder 1152 befestigt sind. Ähnlich wie beim photonischen System 1100 können Lichtwellenleiter 150A bis B optisch an Gitterkoppler 107A der photonischen Packages 1101A bis B gekoppelt werden, Lichtwellenleiter 150C können optisch an Gitterkoppler 1107C der Wellenleiter 1106 gekoppelt sein, und Gitterkoppler 107B der photonischen Packages 1101A bis B können optisch an Gitterkoppler 1107A bis B der Wellenleiter 1106 gekoppelt werden.
  • In einigen Ausführungsformen umfasst die photonische Interconnect-Struktur 1151 des photonischen Systems 1150 eine auf einem Substrat 1102 gebildete Dielektrikumschicht 1104, die ähnlich wie in 57 beschrieben sein kann. Eine Umverteilungsstruktur 1112 kann über der Dielektrikumschicht 1104 gebildet sein und kann mit Techniken gebildet sein, die denen ähnlich sind, die für die Umverteilungsstruktur 1112 in 59 beschrieben wurden. Nach der Bildung der Umverteilungsstruktur 1112 können Abschnitte der Umverteilungsstruktur 1112 entfernt werden, um Abschnitte der Dielektrikumschicht 1104 freizulegen. Die Wellenleiter 1106 können dann auf den freigelegten Abschnitten der Dielektrikumschicht 1104 gebildet sein. Die Wellenleiter 1106 können ähnlich wie die für 57 beschriebenen Wellenleiter 1106 sein und auf ähnliche Weise gebildet sein. Beispielsweise kann eine Schicht aus Siliziumnitrid über den freigelegten Abschnitten der Dielektrikumschicht 1104 abgeschieden und dann strukturiert werden, wobei jedoch auch andere Materialien oder Techniken möglich sind. Die Gitterkoppler 1107A bis C können in den Wellenleitern 1106 mit ähnlichen Techniken wie zuvor beschrieben gebildet sein. In anderen Ausführungsformen können die Wellenleiter 1106 vor der Umverteilungsstruktur 1112 gebildet sein. Beispielsweise können Abschnitte der Umverteilungsstruktur 1112 zu den Wellenleitern 1106 benachbart und/oder darüber gebildet sein.
  • In einigen Ausführungsformen kann eine Dielektrikumschicht 1158 über den Wellenleitern 1106 gebildet sein. Die Dielektrikumschicht 1158 kann ähnlich wie die in 57 beschriebene Dielektrikumschicht 1108 sein und kann mit ähnlichen Techniken gebildet sein. In einigen Ausführungsformen wird ein Planarisierungsprozess (z. B. CMP, Schleifen, Ätzen oder dergleichen) ausgeführt, sodass die Flächen der Umverteilungsstruktur 1112 und der Dielektrikumschicht 1158 im Wesentlichen eben sind. Die Dielektrikumschicht 1158 ermöglicht die Einkopplung von optischen Signalen in die Gitterkoppler 1107A bis C von oben.
  • Die photonischen Packages 1101A bis B, die Halbleitervorrichtungen 250 und/oder die Dummy-Vorrichtungen 1120 können mit Hilfe von leitfähigen Verbindern 1152 an der Umverteilungsstruktur 1112 der photonischen Interconnect-Struktur 1151 befestigt werden. Die leitfähigen Verbinder 1152 können den in 50 beschriebenen leitfähigen Verbindern 948, den in 61 beschriebenen leitfähigen Verbindern 1126 oder den an anderer Stelle hierin beschriebenen leitfähigen Verbindern ähnlich sein. Die Komponenten, die die photonischen Packages 1101A bis C, die Halbleitervorrichtungen 250 und/oder die Dummy-Vorrichtungen 1120 umfassen, können an der Umverteilungsstruktur 1112 befestigt werden, indem beispielsweise leitfähige Verbinder 1152 an der Umverteilungsstruktur 1112 gebildet und leitfähige Pads der Komponenten in physischen Kontakt mit den leitfähigen Verbindern 1152 gebracht werden. Ein Aufschmelzprozess kann ausgeführt werden, um das Lötmaterial der leitfähigen Verbinder 1152 mit den leitfähigen Pads der Komponenten zu verbinden. In einigen Fällen kann die Verwendung von leitfähigen Verbindern 1152 zur Befestigung der photonischen Packages 1101A bis C, der Halbleitervorrichtungen 250 und/oder der Dummy-Vorrichtungen 1120 die Verarbeitungskosten oder die Komplexität verringern.
  • In einigen Ausführungsformen kann ein optischer Kleber 1154 zwischen den photonischen Packages 1101A bis B und der Dielektrikumschicht 1158 gebildet sein. Der optische Klebstoff 1154 ermöglicht die Übertragung von optischen Signalen zwischen den photonischen Packages 1101A bis B und den Gitterkopplern 1107A bis B. In einigen Ausführungsformen kann der optische Klebstoff 1154 beispielsweise an die Dielektrikumschicht 1158 und/oder die Dielektrikumschicht 130 der photonischen Packages 1101A bis B Index-angepasst sein. Der optische Klebstoff 1154 kann auf die photonische Interconnect-Struktur 1151 vor oder nach der Befestigung der photonischen Packages 1101A bis B abgeschieden werden. In einigen Ausführungsformen kann eine Unterfüllung 210 zwischen den photonischen Packages 1101A bis C, den Halbleitervorrichtungen 250 und/oder den Dummy-Vorrichtungen 1120 und der photonischen Interconnect-Struktur 1151 gebildet sein.
  • Die 65 bis 74 illustrieren Zwischenschritte bei der Bildung eines photonischen Systems 1300 nach einigen Ausführungsformen. Die 65 bis 67 illustrieren die Bildung einer Wellenleiterstruktur 1200 nach einigen Ausführungsformen. Ein photonisches System 1300 kann eine oder mehrere Wellenleiterstrukturen 1200 umfassen. Das photonische System 1300 (siehe 74) umfasst in einigen Ausführungsformen mehrere photonische Packages 100A bis B und mehrere Halbleitervorrichtungen 250A bis B, die an einer Umverteilungsstruktur 1314 befestigt sind. Die photonischen Packages 100A bis B können ähnlich wie die zuvor für 14 beschriebenen photonischen Packages 100 sein, und die Halbleitervorrichtungen 250A bis B können ähnlich wie die zuvor hier beschriebenen sein. Die Umverteilungsstruktur 1314 wird über einer oder mehreren Wellenleiterstrukturen 1200 gebildet, die optisch mit den photonischen Packages 100 gekoppelt sind und die optische Kommunikation zwischen den photonischen Packages 100 erlauben. So kann eine effiziente und schnelle optische Kommunikation zwischen den photonischen Packages 100 erreicht werden. 74 illustriert ein photonisches System 1300 mit zwei photonischen Packages 100A bis B und zwei Halbleitervorrichtungen 250A bis B, aber in anderen Ausführungsformen kann eine andere Anzahl von photonischen Strukturen 100 oder Halbleitervorrichtungen 250 vorhanden sein.
  • In 65 ist zunächst ein BOX-Substrat 102 nach einigen Ausführungsformen gezeigt. Das BOX-Substrat 102 kann ähnlich wie das in 1 beschriebene BOX-Substrat 102 sein. Beispielsweise kann das BOX-Substrat eine Oxidschicht 102B, die über einem Substrat 102C gebildet ist, und eine Siliziumschicht 102A, die über der Oxidschicht 102B gebildet ist, umfassen. In 66 ist die Siliziumschicht 102A nach einigen Ausführungsformen strukturiert, um Siliziumregionen für Wellenleiter 1204 und Gitterkoppler 1207 zu bilden. Die Wellenleiter 1204 oder Gitterkoppler 1207 können ähnlich wie die Wellenleiter 104 und Koppler 107 sein, die zuvor für 2 und an anderer Stelle hierin beschrieben wurden, und können auf ähnliche Weise gebildet sein. Es können ein oder mehrere Wellenleiter 1204 und ein oder mehrere Gitterkoppler 1207 gebildet sein. In 67 ist nach einigen Ausführungsformen eine Dielektrikumschicht 108 auf der Vorderseite des BOX-Substrats 102 gebildet. Die Dielektrikumschicht 108 ist über den Wellenleitern 1204, den Gitterkopplern 1207 und der Oxidschicht 102B gebildet. Die Dielektrikumschicht 108 kann ähnlich wie die zuvor für 3 beschriebene Dielektrikumschicht 108 sein.
  • In 68 sind nach einigen Ausführungsformen Durchkontaktierungen 1304 über einem ersten Trägersubstrat 1302 gebildet. Das erste Trägersubstrat 1302 kann beispielsweise ein Wafer, ein Glasträgersubstrat, ein Keramikträgersubstrat oder dergleichen sein. In einigen Ausführungsformen kann eine Trennschicht (nicht gezeigt) auf dem ersten Trägersubstrat 1302 gebildet sein. Die Durchkontaktierungen 1304 können aus ähnlichen Materialien wie die in 45 beschriebenen Durchkontaktierungen 914 gebildet sein und mit ähnlichen Techniken gebildet sein. Beispielsweise kann eine Seed-Schicht über dem ersten Trägersubstrat 1302 gebildet sein, ein Fotolack kann gebildet und über der Seed-Schicht strukturiert werden, und dann wird leitfähiges Material über dem strukturierten Fotolack abgeschieden. Andere Techniken sind möglich. Wie in 68 gezeigt, kann nach einigen Ausführungsformen eine Wellenleiterstruktur 1200 an dem ersten Trägersubstrat 1302 befestigt werden. Die Dielektrikumschicht 108 der Wellenleiterstruktur 1200 kann auf dem ersten Trägersubstrat 1302 mit einer Klebeschicht oder dergleichen (nicht gezeigt) befestigt sein. Mehr als eine Wellenleiterstruktur 1200 kann auf dem ersten Trägersubstrat 1302 befestigt werden, und die Wellenleiterstruktur 1200 kann vor oder nach der Bildung der Durchkontaktierungen 1304 befestigt werden.
  • In 69 ist ein Verkapselungsmaterial 1306 über und um die Durchkontaktierungen 1304 und die Wellenleiterstruktur 1200 herum gebildet nach einigen Ausführungsformen. Das Verkapselungsmaterial 1306 kann ähnlich wie das in 46 oder an anderer Stelle beschriebene Verkapselungsmaterial 916 sein und kann mit ähnlichen Techniken gebildet sein. In 70 wird nach einigen Ausführungsformen ein Planarisierungsprozess ausgeführt, um das Substrat 102C der Wellenleiterstruktur 1200 zu entfernen. Der Planarisierungsprozess kann beispielsweise einen CMP-Prozess, einen Schleifprozess, einen Ätzprozess oder dergleichen oder Kombinationen davon umfassen. Wie in 70 gezeigt, wird durch den Planarisierungsprozess auch das Verkapselungsmaterial 1306 ausgedünnt. Nach Ausführung des Planarisierungsprozesses kann die Oxidschicht 102B der Wellenleiterstruktur 1200 freigelegt werden, und die Durchkontaktierungen 1304 können freigelegt werden.
  • In 71 ist eine Umverteilungsstruktur 1308 über den Durchkontaktierungen 1304, dem Verkapselungsmaterial 1306 und der Wellenleiterstruktur 1200 nach einigen Ausführungsformen gebildet. Die Umverteilungsstruktur 1308 kann ähnlich wie die in 47 beschriebene Umverteilungsstruktur 922 sein und kann mit ähnlichen Techniken gebildet sein. Die Umverteilungsstruktur 1308 kann beispielsweise mehrere Dielektrikumschichten und Metallisierungsstrukturen umfassen. In anderen Ausführungsformen kann die Umverteilungsstruktur 1308 eine andere Anzahl von Dielektrikumschichten und Metallisierungsstrukturen aufweisen als gezeigt. Eine Metallisierungsstruktur der Umverteilungsstruktur 1308 kann physisch und mit den Durchkontaktierungen 1304 elektrisch verbunden sein.
  • In 72 wird nach einigen Ausführungsformen die Struktur vom ersten Trägersubstrat 1302 entfernt, umgedreht und auf einem zweiten Trägersubstrat 1310 befestigt. Das zweite Trägersubstrat 1310 kann ähnlich wie das erste Trägersubstrat 1302 sein, und die Struktur kann mit einer Trennschicht oder dergleichen befestigt werden (in den Figuren nicht gezeigt). Nach einigen Ausführungsformen können dann eine Umverteilungsstruktur 1314 und Polymerregionen 1312 über den Durchkontaktierungen 1304, dem Verkapselungsmaterial 1306 und der Wellenleiterstruktur 1200 gebildet sein.
  • Die Umverteilungsstruktur 1314 kann ähnlich wie die Umverteilungsstruktur 1308 sein und kann auf ähnliche Weise gebildet sein. Die Umverteilungsstruktur 1314 kann beispielsweise Dielektrikumschichten und eine oder mehrere Metallisierungsstrukturen umfassen. In anderen Ausführungsformen kann die Umverteilungsstruktur 1314 eine andere Anzahl von Dielektrikumschichten und Metallisierungsstrukturen aufweisen als gezeigt. Eine Metallisierungsstruktur der Umverteilungsstruktur 1314 kann physisch und elektrisch mit den Durchkontaktierungen 1304 verbunden sein, und somit kann die Umverteilungsstruktur 1314 durch die Durchkontaktierungen 1304 mit der Umverteilungsstruktur 1308 elektrisch verbunden sein.
  • In einigen Ausführungsformen werden Unterbumpmetallisierungen (UBMs) 1316 auf der Umverteilungsstruktur 1314 gebildet. Die UBMs 1316 können Durchkontaktierungsabschnitte aufweisen, die sich durch eine Dielektrikumschicht der Umverteilungsstruktur 1314 erstrecken, um eine Metallisierungsstruktur der Umverteilungsstruktur 1314 physisch und elektrisch zu koppeln. Die UBMs 1316 können ähnlich sein wie die in 50 beschriebenen UBMs 947 und können mit ähnlichen Techniken gebildet sein.
  • In einigen Ausführungsformen werden Abschnitte der Umverteilungsstruktur 1314 über der Wellenleiterstruktur 1200 entfernt, und es werden Polymerregionen 1312 auf der Wellenleiterstruktur 1200 gebildet. Die Polymerregionen 1312 können oberhalb der Gitterkoppler 1207 der Wellenleiterstruktur 1200 gebildet sein, sodass optische Signale durch die Polymerregionen 1312 zu den Gitterkopplern 1207 übertragen werden können. Die Polymerregionen 1312 können beispielsweise aus PMMA, BCB oder dergleichen oder Kombinationen davon umfassen. Das Material der Polymerregionen 1312 kann mit einer geeigneten Technik, wie etwa Tintentropfen-Druck oder dergleichen, gebildet sein, wobei jedoch auch andere Techniken möglich sind. In einigen Ausführungsformen kann ein Polymermaterial abgeschieden werden, ohne die Umverteilungsstruktur 1314 wesentlich zu beschädigen. In anderen Ausführungsformen können die Polymerregionen 1312 vor der Umverteilungsstruktur 1314 gebildet sein.
  • In einigen Ausführungsformen kann die in 72 gezeigte Struktur vom zweiten Trägersubstrat 1310 entfernt werden, und UBMs 1317 und leitfähige Verbinder 1318 (siehe 73) können auf der Umverteilungsstruktur 1308 gebildet sein. Die UBMs 1317 können ähnlich wie die zuvor beschriebenen UBMs 1316 sein, und die leitfähigen Verbinder 1318 können ähnlich wie die zuvor für 64 beschriebenen leitfähigen Verbinder 1152 sein.
  • In 73 ist nach einigen Ausführungsformen ein Interconnect-Substrat 1320 an der Umverteilungsstruktur 1308 befestigt. Das Interconnect-Substrat 1320 kann die elektrische Leitweglenkung und die strukturelle Stabilität für das photonische System 1300 sicherstellen. In einigen Ausführungsformen kann das Interconnect-Substrat 1320 beispielsweise ein Interposer oder ein „halbfertiges Substrat“ sein und kann frei von aktiven Vorrichtungen sein. In einigen Ausführungsformen kann das Interconnect-Substrat 1320 Routingschichten umfassen, die auf einem Kernsubstrat 1321 gebildet sind. Das Kernsubstrat 1321 kann ein Material wie Ajinomoto-Aufbaufilm (ABF), ein vorimprägniertes Verbundfasermaterial („Prepreg“-Verbundfasermaterial), ein Epoxid, eine Formmasse, eine Epoxid-Formmasse, glasfaserverstärkte Harzmaterialien, Leiterplatten-Materialien (PCB-Materialien), Siliziumdioxid-Füllstoff, Polymermaterialien, Polyimid-Materialien, Papier, Glasfaser, Glasvlies, Glas, Keramik, andere Laminate und dergleichen oder Kombinationen davon umfassen. In einigen Ausführungsformen kann das Kernsubstrat ein doppelseitiges kupferkaschiertes Laminat (CCL) oder ein dergleichen sein. Das Interconnect-Substrat 1320 kann eine oder mehrere Routing-Strukturen aufweisen, die auf jeder Seite des Kernsubstrats 1321 gebildet sind, sowie Durchkontaktierungen, die sich durch das Kernsubstrat 1321 erstrecken. Die Routingstrukturen können jeweils eine oder mehrere Routingschichten und eine oder mehrere Dielektrikumschichten (in den Figuren nicht einzeln beschriftet) umfassen.
  • Noch immer mit Verweis auf 73, können externe Verbinder 1322 auf einer Routingstruktur des Interconnect-Substrats 1320 gebildet sein. Bei den externen Verbindern 1322 kann es sich beispielsweise um Kontaktbumps oder Lötkugeln handeln, es können aber auch alle anderen geeigneten Verbinder verwendet werden. In einer Ausführungsform, in der die externen Verbinder 216 Kontaktbumps sind, können die externen Verbinder 1322 ein Material wie Zinn oder andere geeignete Materialien, wie Silber, bleifreies Zinn oder Kupfer, umfassen. In einer Ausführungsform, in der die externen Verbinder 1322 Lötbumps sind, können die externen Verbinder 1322 durch anfängliches Bilden einer Lotschicht unter Verwendung einer solchen Technik wie Aufdampfen, Galvanisieren, Drucken, Lotübertragung, Kugelplatzierung usw. gebildet sein. Sobald eine Lotschicht auf der Struktur gebildet wurde, kann ein Aufschmelzen ausgeführt werden, um das Material in die gewünschte Bumpform für die externen Verbinder 1322 zu bringen.
  • Das Interconnect-Substrat 1320 kann an der Umverteilungsstruktur 1308 befestigt werden, indem leitfähige Regionen des Interconnect-Substrats 1320 auf entsprechende leitfähige Verbinder 1318 gesetzt werden. Sobald der physische Kontakt hergestellt ist, kann ein Aufschmelzprozess verwendet werden, um die leitfähigen Verbinder 1318 mit dem Interconnect-Substrat 1320 zu verbinden. Wie in 73 gezeigt, kann eine Unterfüllung 1319 zwischen dem Interconnect-Substrat 1320 und der Umverteilungsstruktur 1308 abgeschieden werden. Die Unterfüllung 1319 kann auch die leitfähigen Verbinder 1318 zumindest teilweise umgeben. Die Unterfüllung 1319 kann ein Material sein, wie etwa ein Verkapselungsmaterial, ein Epoxid, eine Unterfüllung, eine Formmassenunterfüllung (MUF), ein Harz oder dergleichen, das den zuvor hier beschriebenen Unterfüllungen oder Verkapselungen ähnlich sein kann.
  • In 74 sind die photonischen Packages 100A bis B und die Halbleitervorrichtungen 250A bis B an der Umverteilungsstruktur 1314 befestigt, wodurch das photonische System 1300 nach einigen Ausführungsformen gebildet wird. Die photonischen Packages 100A bis B und die Halbleitervorrichtungen 250A bis B können mit der Umverteilungsstruktur 1314 in ähnlicher Weise verbunden werden, wie dies für 64 beschrieben ist. Beispielsweise können die photonischen Packages 100A bis B und die Halbleitervorrichtungen 250A bis B mit den UBMs 1316, die auf der Umverteilungsstruktur 1314 gebildet sind, unter Verwendung von leitfähigen Verbindern ähnlich den leitfähigen Verbindern 1152, die für 64 oder an anderer Stelle hier beschrieben sind, verbunden werden. Beispielsweise können leitfähige Verbinder auf den UBMs 1316 gebildet sein und dann die photonischen Packages 100A bis B und die Halbleitervorrichtungen 250A bis B auf die leitfähigen Verbinder platziert werden. Ein Aufschmelzprozess kann ausgeführt werden, um das Lötmaterial der leitfähigen Verbinder mit den leitfähigen Pads der photonischen Package 100A bis B und der Halbleitervorrichtungen 250A bis B zu verbinden. Andere Techniken sind möglich.
  • In einigen Ausführungsformen kann ein optischer Kleber 1354 zwischen den photonischen Packages 100A bis B und den Polymerregionen 1312 gebildet sein. Der optische Kleber 1154 ermöglicht die Übertragung von optischen Signalen zwischen den photonischen Packages 100A bis B und den Gitterkopplern 1207 der Wellenleiterstruktur 1200. Der optische Kleber 1354 kann in einigen Fällen ähnlich wie der für 64 beschriebene optische Kleber 1154 sein. Der optische Klebstoff 1354 kann vor oder nach der Befestigung der photonischen Packages 100A bis B abgeschieden werden. In einigen Ausführungsformen kann eine Unterfüllung 210 zwischen den photonischen Packages 1101A bis C oder den Halbleitervorrichtungen 250 und der Umverteilungsstruktur 1314 abgeschieden werden.
  • So können in einigen Ausführungsformen die photonischen Packages 100A bis B optisch mit den Wellenleitern 1206 der Wellenleiterstruktur 1200 gekoppelt werden, um eine optische Kommunikation zwischen den Halbleitervorrichtungen 250A bis B des photonischen Systems 1300 zu erlauben. Dies ist in 75 gezeigt, die eine vergrößerte Ansicht eines Abschnitts des photonischen Systems 1300 aus 74 zeigt. Wie in 75 gezeigt, können optische Signale zwischen den Gitterkopplern 107 des photonischen Packages 100A bis B und den Gitterkopplern 1207 der Wellenleiterstruktur 1200 übertragen werden. In den Wellenleitern 1206 der Wellenleiterstruktur 1200 können auch optische Signale übertragen werden. So kann die optische Kommunikation zwischen den photonischen Packages 100A bis B durch die Wellenleiterstruktur 1200 erleichtert werden. So kann ein photonisches System 1300 gebildet sein, das eine effiziente und schnelle optische Kommunikation zwischen mehreren Halbleitervorrichtungen 250A bis B-Komponenten unter Verwendung der photonischen Package 100A bis B und der Wellenleiterstruktur 1200 ermöglicht.
  • Ausführungsformen können Vorteile erreichen. Die hierin beschriebenen Ausführungsformen erlauben das Bilden eines photonischen Systems mit weniger Kosten, größerer Größe und verbesserter Funktion. Beispielsweise kann ein auf einem Substrat gebildetes photonisches Package einen Abschnitt dieses Substrats durch eine Dielektrikumschicht ersetzen, die optische Signale überträgt. So können optische Signale von einem Gitterkoppler innerhalb des photonischen Packages zu externen Komponenten übertragen werden, was eine effizientere optische Kommunikation zwischen dem photonischen Package und externen Komponenten ermöglicht. In einigen Fällen kann die Dielektrikumschicht auch die Kopplungseffizienz eines im photonischen Package gebildeten Randkopplers verbessern, was eine effizientere optische Kommunikation zwischen dem photonischen Package und beispielsweise einem an der Kante montierten Lichtwellenleiter ermöglicht. In einigen Ausführungsformen können mehrere photonische Packages an einer Struktur befestigt sein, die Wellenleiter umfasst, und jedes photonische Package kann durch die Dielektrikumschicht optisch mit den Wellenleitern gekoppelt sein. Ein photonisches System kann sowohl photonische Packages als auch Halbleitervorrichtungen umfassen, und die photonischen Packages können eine Grenzfläche zu den Halbleitervorrichtungen bilden, um die optische Kommunikation zwischen den Halbleitervorrichtungen zu erlauben. So können die Komponenten eines photonischen Systems über optische Signale kommunizieren, was die Effizienz und Geschwindigkeit des photonischen Systems verbessern kann. Die Übertragung von optischen Signalen kann beispielsweise eine geringere Signaldämpfung bei hohen Frequenzen, ein geringeres Übersprechen und ein geringeres Schaltrauschen aufweisen als die Übertragung von elektrischen Signalen mit z. B. Leiterbahnen und dergleichen. Die optische Kommunikation kann eine Kommunikation mit geringerer Latenz und höherer Bandbreite erlauben.
  • Nach einer Ausführungsform umfasst ein Verfahren das Bilden eines ersten photonischen Packages, wobei das Bilden des ersten photonischen Packages das Strukturieren einer Siliziumschicht zum Bilden eines ersten Wellenleiters umfasst, wobei die Siliziumschicht auf einer Oxidschicht liegt und wobei die Oxidschicht auf einem Substrat liegt; das Bilden von Durchkontaktierungen, die sich in das Substrat erstrecken; das Bilden einer ersten Umverteilungsstruktur über dem ersten Wellenleiter und den Durchkontaktierungen, wobei die erste Umverteilungsstruktur elektrisch mit den Durchkontaktierungen verbunden ist; Verbinden einer ersten Halbleitervorrichtung mit der ersten Umverteilungsstruktur; Entfernen eines ersten Abschnitts des Substrats, um einen ersten Ausschnitt zu bilden, wobei der erste Ausschnitt die Oxidschicht freilegt; und Füllen des ersten Ausschnitts mit einem ersten Dielektrikum, um eine erste Dielektrikumsregion zu bilden. In einer Ausführungsform wird die erste Halbleitervorrichtung mit der ersten Umverteilungsstruktur unter Verwendung eines direkten Bondprozesses gebondet. In einer Ausführungsform umfasst das Bilden des photonischen Packages das Bilden eines Fotodetektors in der Siliziumschicht, wobei der Fotodetektor optisch mit dem ersten Wellenleiter gekoppelt ist, wobei der Fotodetektor mit der ersten Umverteilungsstruktur elektrisch verbunden ist. In einer Ausführungsform umfasst das Bilden des photonischen Packages das Strukturieren der Siliziumschicht, um einen Gitterkoppler zu bilden, wobei der Gitterkoppler optisch mit dem ersten Wellenleiter gekoppelt ist. In einer Ausführungsform umfasst das Bilden des photonischen Packages das Strukturieren der Siliziumschicht, um einen Randkoppler zu bilden, wobei der Randkoppler optisch mit dem ersten Wellenleiter gekoppelt ist. In einer Ausführungsform sind eine Seitenwand der ersten Dielektrikumsregion und eine Seitenwand der Oxidschicht komplanar. In einer Ausführungsform umfasst das Verfahren das Entfernen eines zweiten Abschnitts des Substrats, um einen zweiten Ausschnitt zu bilden, wobei der zweite Ausschnitt die Oxidschicht freilegt, und das Füllen des zweiten Ausschnitts mit dem ersten Dielektrikum, um eine zweite Dielektrikumsregion zu bilden. In einer Ausführungsform umfasst das Verfahren das Befestigen des ersten photonischen Packages und eines zweiten photonischen Packages an einer Interconnect-Struktur, wobei die Interconnect-Struktur einen zweiten Wellenleiter umfasst, wobei der zweite Wellenleiter optisch mit dem ersten Wellenleiter des ersten photonischen Packages gekoppelt ist. In einer Ausführungsform umfasst das Verfahren das Befestigen einer Trägerstruktur an der ersten Halbleitervorrichtung.
  • Nach einer Ausführungsform umfasst ein Verfahren das Bilden eines ersten Wellenleiters auf einer ersten Seite einer Oxidschicht, wobei der erste Wellenleiter ein erstes Wellenleitermaterial umfasst, wobei sich die Oxidschicht auf einem Substrat befindet; das Bilden einer ersten Umverteilungsstruktur über dem ersten Wellenleiter; das Bonden eines elektronischen Dies an die erste Umverteilungsstruktur; das Entfernen des Substrats, um eine zweite Seite der Oxidschicht freizulegen; und das Bilden eines zweiten Wellenleiters auf der zweiten Seite der Oxidschicht, wobei der zweite Wellenleiter ein zweites Wellenleitermaterial umfasst, das sich von dem ersten Wellenleitermaterial unterscheidet. In einer Ausführungsform ist das zweite Wellenleitermaterial Siliziumnitrid. In einer Ausführungsform ist das zweite Wellenleitermaterial ein Polymer. In einer Ausführungsform umfasst das Verfahren das Bilden eines dritten Wellenleiters über dem zweiten Wellenleiter, wobei der dritte Wellenleiter das zweite Wellenleitermaterial umfasst, wobei der dritte Wellenleiter optisch mit dem zweiten Wellenleiter gekoppelt ist. In einer Ausführungsform umfasst das Verfahren das Ausdünnen der Oxidschicht vor der Bildung des zweiten Wellenleiters auf der zweiten Seite der Oxidschicht. In einer Ausführungsform umfasst das Verfahren das Abscheiden eines Formmaterials auf eine Seitenwand der Oxidschicht, wobei sich der zweite Wellenleiter auf einer oberen Fläche des Formmaterials erstreckt. In einer Ausführungsform umfasst das Verfahren das Bilden einer zweiten Umverteilungsstruktur, die sich über die Oxidschicht und den zweiten Wellenleiter erstreckt.
  • Nach einer Ausführungsform umfasst ein Package ein Substrat, das ein erstes Material umfasst; eine erste Dielektrikumsregion, der zu dem Substrat benachbart ist, wobei die zweite Dielektrikumsregion ein zweites Material umfasst, das sich von dem ersten Material unterscheidet, wobei eine erste Seitenwand der ersten Dielektrikumsregion eine Seitenwand des Substrats physisch kontaktiert; eine erste Dielektrikumschicht, die sich auf dem Substrat und auf der ersten Dielektrikumsregion erstreckt, wobei eine zweite Seitenwand der ersten Dielektrikumsregion und eine Seitenwand der ersten Dielektrikumschicht komplanar sind; einen Wellenleiter auf der ersten Dielektrikumschicht; einen Randkoppler auf der ersten Dielektrikumschicht, wobei der Randkoppler optisch mit dem Wellenleiter gekoppelt ist, wobei der Randkoppler und die zweite Dielektrikumsregion vertikal ausgerichtet sind; eine Umverteilungsstruktur über dem Wellenleiter; und einen elektronischen Die, der mit der Umverteilungsstruktur verbunden ist. In einer Ausführungsform umfasst das Package eine photonische Vorrichtung auf der ersten Dielektrikumschicht, wobei die photonische Vorrichtung optisch mit dem Wellenleiter gekoppelt und mit der Umverteilungsstruktur elektrisch verbunden ist. In einer Ausführungsform umfasst das Package ein Lichtwellenleiter, die optisch mit dem Randkoppler gekoppelt ist. In einer Ausführungsform umfasst das Package Durchkontaktierungen, die sich durch das Substrat erstrecken, wobei die Durchkontaktierungen mit der Umverteilungsstruktur elektrisch verbunden sind.
  • Obiges beschreibt Merkmale mehrerer Ausführungsformen, mit denen Fachleute auf dem Gebiet die Aspekte dieser Offenbarung besser verstehen. Fachleute auf dem Gebiet sollten verstehen, dass sie diese Offenbarung leicht als Grundlage für das Design oder die Änderung anderer Prozesse und Strukturen verwenden können, um dieselben Zwecke auszuführen und/oder dieselben Vorteile der hierin eingeführten Ausführungsformen zu erreichen. Fachleute auf dem Gebiet sollten außerdem verstehen, dass solche entsprechenden Konstruktionen nicht vom Geist und Umfang dieser Offenbarung abweichen und dass sie verschiedene Änderungen, Ersetzungen und Abänderungen hieran vornehmen können, ohne vom Geist und Umfang dieser Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63/037061 [0001]
    • US 63/061363 [0001]

Claims (20)

  1. Verfahren umfassend Bilden eines ersten photonischen Packages, wobei das Bilden des ersten photonischen Packages umfasst: Strukturieren einer Siliziumschicht, um einen ersten Wellenleiter zu bilden, wobei die Siliziumschicht auf einer Oxidschicht liegt, wobei die Oxidschicht auf einem Substrat liegt; Bilden von Durchkontaktierungen, die sich in das Substrat erstrecken; Bilden einer ersten Umverteilungsstruktur über dem ersten Wellenleiter und den Durchkontaktierungen, wobei die erste Umverteilungsstruktur elektrisch mit den Durchkontaktierungen verbunden ist; Verbinden einer ersten Halbleitervorrichtung mit der ersten Umverteilungsstruktur; Entfernen eines ersten Abschnitts des Substrats, um einen ersten Ausschnitt zu bilden, wobei der erste Ausschnitt die Oxidschicht freilegt; und Füllen des ersten Ausschnitts mit einem ersten Dielektrikum, um eine erste Dielektrikumsregion zu bilden.
  2. Verfahren nach Anspruch 1, wobei die erste Halbleitervorrichtung unter Verwendung eines Direktbondprozesses an die erste Umverteilungsstruktur gebondet wird.
  3. Verfahren nach Anspruch 1 oder 2, wobei das Bilden des photonischen Packages ferner umfasst: Bilden eines Fotodetektors in der Siliziumschicht, wobei der Fotodetektor optisch mit dem ersten Wellenleiter gekoppelt ist, wobei der Fotodetektor elektrisch mit der ersten Umverteilungsstruktur verbunden ist.
  4. Verfahren nach einem der vorhergehenden Ansprüche 1 bis 3, wobei das Bilden des photonischen Packages ferner umfasst: Strukturieren der Siliziumschicht, um einen Gitterkoppler zu bilden, wobei der Gitterkoppler optisch mit dem ersten Wellenleiter gekoppelt ist.
  5. Verfahren nach einem der vorhergehenden Ansprüche 1 bis 3, wobei das Bilden des photonischen Packages ferner umfasst: Strukturieren der Siliziumschicht, um einen Randkoppler zu bilden, wobei der Randkoppler optisch mit dem ersten Wellenleiter gekoppelt ist.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei eine Seitenwand der ersten Dielektrikumsregion und eine Seitenwand der Oxidschicht komplanar sind.
  7. Verfahren nach einem der vorhergehenden Ansprüche, ferner umfassend: Entfernen eines zweiten Abschnitts des Substrats, um einen zweiten Ausschnitt zu bilden, wobei der zweite Ausschnitt die Oxidschicht freilegt; und Füllen des zweiten Ausschnitts mit dem ersten Dielektrikum, um eine zweite Dielektrikumsregion zu bilden.
  8. Verfahren nach einem der vorhergehenden Ansprüche, ferner umfassend: Befestigen des ersten photonischen Packages und eines zweiten photonischen Packages an einer Interconnect-Struktur, wobei die Interconnect-Struktur einen zweiten Wellenleiter aufweist, wobei der zweite Wellenleiter optisch mit dem ersten Wellenleiter des ersten photonischen Packages gekoppelt ist.
  9. Verfahren nach einem der vorhergehenden Ansprüche, ferner umfassend: Befestigen einer Trägerstruktur an der ersten Halbleitervorrichtung.
  10. Verfahren umfassend: Bilden eines ersten Wellenleiters auf einer ersten Seite einer Oxidschicht, wobei der erste Wellenleiter ein erstes Wellenleitermaterial enthält, wobei die Oxidschicht auf einem Substrat liegt; Bilden einer ersten Umverteilungsstruktur über dem ersten Wellenleiter; Bonden eines elektronischen Dies an die erste Umverteilungsstruktur; Entfernen des Substrats, um eine zweite Seite der Oxidschicht freizulegen; und Bilden eines zweiten Wellenleiters auf der zweiten Seite der Oxidschicht, wobei der zweite Wellenleiter ein zweites Wellenleitermaterial enthält, das verschieden von dem ersten Wellenleitermaterial ist.
  11. Verfahren nach Anspruch 10, wobei das zweite Wellenleitermaterial Siliziumnitrid ist.
  12. Verfahren nach Anspruch 10, wobei das zweite Wellenleitermaterial ein Polymer ist.
  13. Verfahren nach einem der Ansprüche 10 bis 12, ferner umfassend: Bilden eines dritten Wellenleiters über dem zweiten Wellenleiter, wobei der dritte Wellenleiter das zweite Wellenleitermaterial enthält, wobei der dritte Wellenleiter optisch mit dem zweiten Wellenleiter gekoppelt ist.
  14. Verfahren nach einem der Ansprüche 10 bis 13, ferner umfassend: Verdünnen der Oxidschicht vor dem Bilden des zweiten Wellenleiters auf der zweiten Seite der Oxidschicht.
  15. Verfahren nach einem der Ansprüche 10 bis 14, ferner umfassend: Abscheiden eines Formmaterials auf einer Seitenwand der Oxidschicht, wobei sich der zweite Wellenleiter auf einer oberen Oberfläche des Formmaterials erstreckt.
  16. Verfahren nach einem der vorhergehenden Ansprüche 10 bis 15, ferner umfassend: Bilden einer zweiten Umverteilungsstruktur, die sich über der Oxidschicht und dem zweiten Wellenleiter erstreckt.
  17. Package aufweisend: ein Substrat, das ein erstes Material enthält; eine erste Dielektrikumsregion neben dem Substrat, wobei die zweite Dielektrikumsregion ein zweites Material enthält, das verschieden von dem ersten Material ist, wobei eine erste Seitenwand der ersten Dielektrikumsregion eine Seitenwand des Substrats physisch kontaktiert; eine erste Dielektrikumschicht, die sich auf dem Substrat und auf der ersten Dielektrikumsregion erstreckt, wobei eine zweite Seitenwand der ersten Dielektrikumsregion und eine Seitenwand der ersten Dielektrikumschicht komplanar sind; einen Wellenleiter auf der ersten Dielektrikumschicht; einen Randkoppler auf der ersten Dielektrikumschicht, wobei der Randkoppler optisch mit dem Wellenleiter gekoppelt ist, wobei der Randkoppler und die zweite Dielektrikumsregion vertikal ausgerichtet sind; eine Umverteilungsstruktur über dem Wellenleiter; und einen elektronischen Die, der mit der Umverteilungsstruktur verbunden ist.
  18. Package nach Anspruch 17, ferner aufweisend: eine photonische Vorrichtung auf der ersten Dielektrikumschicht, wobei die photonische Vorrichtung optisch mit dem Wellenleiter gekoppelt ist und elektrisch mit der Umverteilungsstruktur verbunden ist.
  19. Package nach Anspruch 17 oder 18, ferner aufweisend: einen Lichtwellenleiter, der optisch mit dem Randkoppler gekoppelt ist.
  20. Package nach einem der vorhergehenden Ansprüche 17 bis 19, ferner aufweisend: Durchkontaktierungen, die sich durch das Substrat erstrecken, wobei die Durchkontaktierungen elektrisch mit der Umverteilungsstruktur verbunden sind.
DE102021109161.6A 2020-06-10 2021-04-13 Photonische halbleitervorrichtung und herstellungsverfahren Pending DE102021109161A1 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202063037061P 2020-06-10 2020-06-10
US63/037,061 2020-06-10
US202063061363P 2020-08-05 2020-08-05
US63/061,363 2020-08-05
US17/226,542 2021-04-09
US17/226,542 US11592618B2 (en) 2020-06-10 2021-04-09 Photonic semiconductor device and method of manufacture

Publications (1)

Publication Number Publication Date
DE102021109161A1 true DE102021109161A1 (de) 2021-12-16

Family

ID=77811142

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102021109161.6A Pending DE102021109161A1 (de) 2020-06-10 2021-04-13 Photonische halbleitervorrichtung und herstellungsverfahren

Country Status (5)

Country Link
US (3) US11592618B2 (de)
KR (1) KR20210154086A (de)
CN (1) CN113451150A (de)
DE (1) DE102021109161A1 (de)
TW (1) TWI781650B (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11774686B2 (en) * 2021-05-06 2023-10-03 Globalfoundries U.S. Inc. Edge couplers including a rounded region adjacent to an opening in the interconnect structure
US11650381B1 (en) * 2022-02-12 2023-05-16 Globalfoundries U.S. Inc. PIC die and package with cover for multiple level and multiple depth connections of fibers to on-chip optical components

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004095112A2 (en) * 2003-04-21 2004-11-04 Sioptical, Inc. Cmos-compatible integration of silicon-based optical devices with electronic devices
JP4315020B2 (ja) * 2004-03-02 2009-08-19 ソニー株式会社 半導体集積回路装置およびその製造方法
US20060177173A1 (en) * 2005-02-04 2006-08-10 Sioptical, Inc. Vertical stacking of multiple integrated circuits including SOI-based optical components
US10475732B2 (en) * 2013-07-12 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. 3DIC package integration for high-frequency RF system
US9874690B2 (en) 2013-10-04 2018-01-23 Globalfoundries Inc. Integrated waveguide structure with perforated chip edge seal
US10663663B2 (en) 2014-02-28 2020-05-26 Ciena Corporation Spot-size converter for optical mode conversion and coupling between two waveguides
US9450381B1 (en) * 2015-03-19 2016-09-20 International Business Machines Corporation Monolithic integrated photonics with lateral bipolar and BiCMOS
JP6920302B2 (ja) 2015-12-17 2021-08-18 フィニサー コーポレイション 表面結合システム
CA3025431A1 (en) 2016-06-01 2018-01-18 Quantum-Si Incorporated Integrated device for detecting and analyzing molecules
US10162139B1 (en) 2017-07-27 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semicondcutor package
US10636701B2 (en) * 2017-09-29 2020-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor devices using multiple planarization processes
US10371893B2 (en) * 2017-11-30 2019-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid interconnect device and method
FR3082354B1 (fr) 2018-06-08 2020-07-17 Commissariat A L'energie Atomique Et Aux Energies Alternatives Puce photonique traversee par un via
US10777430B2 (en) * 2018-06-27 2020-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic integrated package and method forming same
US10746923B2 (en) 2018-06-27 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic semiconductor device and method
US10962711B2 (en) * 2018-11-29 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and manufacturing method thereof

Also Published As

Publication number Publication date
TWI781650B (zh) 2022-10-21
US20220043208A1 (en) 2022-02-10
KR20210154086A (ko) 2021-12-20
US20230393336A1 (en) 2023-12-07
US20220381985A1 (en) 2022-12-01
TW202212879A (zh) 2022-04-01
CN113451150A (zh) 2021-09-28
US11592618B2 (en) 2023-02-28
US11747563B2 (en) 2023-09-05

Similar Documents

Publication Publication Date Title
DE102020107271B4 (de) Photonische Halbleitervorrichtung und Verfahren zu deren Herstellung
DE102019105763B4 (de) Integriertes photonisches package undverfahren zu dessen herstellung
DE102019117173A1 (de) Photonisches halbleiter-bauelement und verfahren
DE102019116579B4 (de) Photoniksystem und verfahren
DE102020101431B4 (de) Halbleitervorrichtung und Herstellungsverfahren
DE60219815T2 (de) Monolitische elektronische mehrlagenanordnung und deren herstellungsverfahren
CN109860135A (zh) 混合互连器件和方法
DE102019115275A1 (de) Halbleiter-Interconnect-Struktur und Verfahren
DE112013000405T5 (de) Film-Interposer für integrierte Schaltkreise
DE102021109161A1 (de) Photonische halbleitervorrichtung und herstellungsverfahren
DE102020119103A1 (de) Photonische halbleitervorrichtung und herstellungsverfahren
DE102020119181A1 (de) Halbleiterpackages und verfahren zu deren herstellung
DE102008058482B4 (de) Vorrichtung, herstellungsverfahren und system mit waferseitigem heterogenen massen-packaging von optischen zwischenverbindungen
DE102019133513A1 (de) Integriertes schaltungs-package und verfahren
DE102009047872B4 (de) Halbleiterbauelement mit einem vergrabenen Wellenleiter für die bauteilinterne optische Kommunikation
DE102020120097A1 (de) Halbleitervorrichtunge und herstellungsverfahren
DE602005004945T2 (de) Herstellung einer optischen Verbindungsschicht auf einem elektronischen Schaltkreis
DE102022108287A1 (de) Packagestruktur mit photonic package und interposer mit wellenleiter
DE102023105384A1 (de) Ic-package und verfahren zum bilden desselben
DE102018106508B4 (de) Hybrid-interconnect-bauelement und verfahren
DE102017124815A1 (de) Photonisches Package und Verfahren zu dessen Bildung
CN116931167A (zh) 转接板、光芯片封装、计算加速器及其制造方法
DE102020116340A1 (de) Gestapelter bildsensorvorrichtung und deren herstellungsverfahren
CN112578509A (zh) 半导体器件与系统及其制造方法
DE102020128429B4 (de) Package-Vorrichtung mit optischem Pfad und Verfahren zu dessen Herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed