DE102022108287A1 - Packagestruktur mit photonic package und interposer mit wellenleiter - Google Patents

Packagestruktur mit photonic package und interposer mit wellenleiter Download PDF

Info

Publication number
DE102022108287A1
DE102022108287A1 DE102022108287.3A DE102022108287A DE102022108287A1 DE 102022108287 A1 DE102022108287 A1 DE 102022108287A1 DE 102022108287 A DE102022108287 A DE 102022108287A DE 102022108287 A1 DE102022108287 A1 DE 102022108287A1
Authority
DE
Germany
Prior art keywords
waveguide
interposer
die
photonic
redistribution structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
DE102022108287.3A
Other languages
English (en)
Inventor
Chen-Hua Yu
Hsing-Kuo Hsia
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102022108287A1 publication Critical patent/DE102022108287A1/de
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/43Arrangements comprising a plurality of opto-electronic elements and associated optical interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • H01L25/167Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits comprising optoelectronic devices, e.g. LED, photodiodes
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12002Three-dimensional structures
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12004Combinations of two or more optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/4206Optical features
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/4214Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms the intermediate optical element having redirecting reflective means, e.g. mirrors, prisms for deflecting the radiation from horizontal to down- or upward direction toward a device
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4274Electrical aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/565Moulds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/075Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00
    • H01L25/0753Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/075Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L33/00
    • H01L25/0756Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/023Mount members, e.g. sub-mount members
    • H01S5/02325Mechanically integrated components on mount members or optical micro-benches
    • H01S5/02326Arrangements for relative positioning of laser diodes and optical components, e.g. grooves in the mount to fix optical fibres or lenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/0206Substrates, e.g. growth, shape, material, removal or bonding
    • H01S5/021Silicon based substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/022Mountings; Housings
    • H01S5/0225Out-coupling of light
    • H01S5/02251Out-coupling of light using optical fibres
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/026Monolithically integrated components, e.g. waveguides, monitoring photo-detectors, drivers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S5/00Semiconductor lasers
    • H01S5/02Structural details or components not essential to laser action
    • H01S5/026Monolithically integrated components, e.g. waveguides, monitoring photo-detectors, drivers
    • H01S5/0262Photo-diodes, e.g. transceiver devices, bidirectional devices
    • H01S5/0264Photo-diodes, e.g. transceiver devices, bidirectional devices for monitoring the laser-output

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Optics & Photonics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Manufacturing & Machinery (AREA)
  • Optical Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

Ein Halbleiterpackage umfasst ein erstes Interposer mit einem ersten Substrat, einer ersten Umverteilungsstruktur über einer ersten Seite des ersten Substrats und einem ersten Wellenleiter über der ersten Umverteilungsstruktur und in der Nähe einer ersten Seite des ersten Interposers, wobei die erste Umverteilungsstruktur zwischen dem ersten Substrat und dem ersten Wellenleiter liegt. Das Halbleiterpackage umfasst ferner ein Photonikpackage, das an der ersten Seite des ersten Interposers angebracht ist, wobei das Photonikpackage Folgendes umfasst: einen elektronischen Die und einen photonischen Die mit mehreren dielektrischen Schichten und einem zweiten Wellenleiter in einer der mehreren dielektrischen Schichten, wobei eine erste Seite des photonischen Dies an dem elektronischen Chip angebracht ist und eine gegenüberliegende zweite Seite des photonischen Dies an der ersten Seite des ersten Interposers angebracht ist, wobei der zweite Wellenleiter in der Nähe der zweiten Seite des photonischen Dies ist.

Description

  • PRIORITÄTSANSPRUCH UND QUERVERWEIS
  • Diese Anmeldung beansprucht die Priorität der am 22. November 2021 eingereichten vorläufigen US-Anmeldung 63/264,397 mit dem Titel „Structure to Integrated Photonic Silicon on Interposer in a 3DIC Package“ und der am 29. Dezember 2021 eingereichten vorläufigen US-Anmeldung 63/266,114 mit dem Titel „Package Structure Including Interposer Having Waveguide“, die durch Bezugnahme hiermit jeweils vollumfänglich hierin aufgenommen werden.
  • HINTERGRUND
  • Elektrische Signalübertragung und Signalverarbeitung sind eine von Techniken zur Signalübertragung und Signalverarbeitung. Optische Signalübertragung und Signalverarbeitung wurden in den letzten Jahren in immer mehr Anwendungen eingesetzt, insbesondere aufgrund der Verwendung von Anwendungen, die optische Fasern betreffen, für die Signalübertragung.
  • Optische Signalübertragung und Signalverarbeitung werden in der Regel mit elektrischer Signalübertragung und Signalverarbeitung kombiniert, um vollwertige Anwendungen zu ermöglichen. Beispielsweise können optische Fasern für die Signalübertragung über große Entfernungen und elektrische Signale für die Signalübertragung über kurze Entfernungen sowie für die Verarbeitung und Steuerung verwendet werden. Somit werden Bauelemente für die Umwandlung zwischen optischen und elektrischen Signalen sowie für die Verarbeitung von optischen und elektrischen Signalen gebildet, die optische und elektrische Komponenten integrieren. Die Packages können daher sowohl optische (photonische) Dies mit optischen Bauelementen als auch elektronische Dies mit elektronischen Bauelementen enthalten.
  • Figurenliste
  • Aspekte der vorliegenden Offenbarung lassen sich am besten anhand der folgenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass gemäß der branchenüblichen Praxis verschiedene Merkmale nicht maßstabsgetreu dargestellt sind. Tatsächlich können die Abmessungen der verschiedenen Merkmale zugunsten einer klaren Diskussion willkürlich vergrößert oder verkleinert sein.
    • 1 bis 17 zeigen Querschnittsansichten eines Photonikpackages in verschiedenen Fertigungsstadien gemäß einer Ausführungsform.
    • 18 zeigt eine Querschnittsansicht eines Photonikpackages gemäß einer anderen Ausführungsform.
    • 19 bis 22 zeigen Querschnittsansichten eines Interposers mit einem Wellenleiter in verschiedenen Fertigungsstadien gemäß einer Ausführungsform.
    • 23 zeigt eine Querschnittsansicht eines Interposers mit mehreren Schichten von Wellenleitern gemäß einer Ausführungsform.
    • 24 zeigt eine Querschnittsansicht eines Interposers mit einem Wellenleiter und einem organischen Substrat, gemäß einer Ausführungsform.
    • 25A - 25D zeigen verschiedene Ansichten (beispielsweise Querschnitt, Draufsicht) eines Halbleiterpackages gemäß einer Ausführungsform.
    • 26 zeigt eine Querschnittsansicht eines Halbleiterpackages gemäß einer Ausführungsform.
    • 27 zeigt eine Querschnittsansicht eines Halbleiterpackages gemäß einer anderen Ausführungsform.
    • 28 zeigt eine Querschnittsansicht eines Halbleiterpackages gemäß einer anderen Ausführungsform.
    • 29 zeigt eine Querschnittsansicht eines Halbleiterpackages gemäß einer anderen Ausführungsform.
    • 30 zeigt eine Querschnittsansicht eines Halbleiterpackages gemäß einer weiteren Ausführungsform.
    • 31 zeigt eine Querschnittsansicht eines optischen lokalen Silizium-Interconnects (optical local silicon interconnect, OLSI) gemäß einer Ausführungsform.
    • 32 zeigt eine Querschnittsansicht eines lokalen Silizium-Interconnects (local silicon interconnect, LSI) gemäß einer Ausführungsform.
    • 33 zeigt eine Querschnittsansicht eines Halbleiterpackages gemäß einer Ausführungsform.
    • 34 veranschaulicht ein Verfahren zur Herstellung eines Halbleiterpackages gemäß einer Ausführungsform.
  • AUSFÜHRLICHE BESCHREIBUNG
  • Die folgende Offenbarung bietet viele verschiedene Ausführungsformen oder Beispiele für die Umsetzung verschiedener Merkmale der Erfindung. Zur Vereinfachung der vorliegenden Offenbarung werden im Folgenden spezifische Beispiele für Komponenten und Anordnungen beschrieben. Dies sind natürlich nur Beispiele, die nicht als einschränkend zu verstehen sind. Beispielsweise kann die Ausbildung eines ersten Merkmals über oder auf einem zweiten Merkmal in der folgenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Merkmal in direktem Kontakt ausgebildet sind, kann aber auch Ausführungsformen umfassen, bei denen zusätzliche Merkmale zwischen dem ersten und dem zweiten Merkmal ausgebildet sein können, so dass das erste und das zweite Merkmal gegebenenfalls nicht in direktem Kontakt stehen.
  • Ferner können hier zur einfacheren Beschreibung räumlich relative Begriffe wie „unter“, „unterhalb“, „unten“, „über“, „oberhalb“, „oben“ und dergleichen verwendet werden, um die Beziehung eines Elements oder Merkmals zu einem anderen Element oder Merkmal wie es in den Zeichnungen dargestellt zu beschreiben. Die räumlich relativen Begriffe sollen verschiedene Ausrichtungen der Vorrichtung während Gebrauch oder Betrieb zusätzlich zu der in den Zeichnungen dargestellten Ausrichtung umfassen. Die Vorrichtung kann auch anders ausgerichtet sein (um 90 Grad gedreht oder in anderen Ausrichtungen), und die hier verwendeten räumlich relativen Bezeichnungen können entsprechend interpretiert werden. Sofern nicht anders angegeben, beziehen sich die gleichen oder ähnlichen Bezugszeichen in den verschiedenen Zeichnungen auf ein gleiches oder ähnliches Element, das durch ein gleiches oder ähnliches Herstellungsverfahren unter Verwendung eines gleichen oder ähnlichen Materials (oder von gleichen oder ähnlichen Materialien) hergestellt ist.
  • In dieser Offenbarung stellt ein Interposer mit einem eingebetteten Wellenleiter (beispielsweise Nitrid-Wellenleiter) das Routing sowohl für elektrische als auch für optische Signale bereit und wird als eine Plattform für die Integration verschiedener Arten von Bauelementen, wie beispielsweise III-V-Bauelementen, photonischen Bauelemente/Packages und Bauelementen mit nur elektronischen Dies, in ein Halbleiterpackage verwendet. Verschiedene Ausführungsformen des Halbleiterpackages bieten eine Leistungssteigerung gegenüber Halbleiterpackages, die nur eine elektrische Signalführung zwischen verschiedenen Bauelementen innerhalb des Halbleiterpackages ermöglichen. Der hierin offenbarte Interposer ermöglicht die Verwendung von hocheffizienten randmontierten optischen Fasern und/oder von vertikal montierten optischen Fasern in dem Halbleiterpackage für die Kommunikation mit externen Geräten und bietet eine große Flexibilität bei der Konstruktion. In einigen Ausführungsformen sind ein oder mehrere Wellenleiter in einem Silizium-Interposer eines CoWoS-Packages (chip-on-wafer-on-substrate, CoWoS) integriert (beispielsweise eingebettet) und ein photonischer Die ist neben einer integrierten Schaltung und/oder einer Speicherstapelvorrichtung auf dem Silizium-Interposer angeordnet.
  • 1 bis 17 zeigen Querschnittsansichten eines Photonikpackages 100 in verschiedenen Stadien der Herstellung gemäß einer Ausführungsform. Das Photonikpackage 100 (auch als optisches Modul bezeichnet) kann Teil eines Halbleiterpackages sein (beispielsweise eines Halbleiterpackages 500, das nachstehend in Verbindung mit 25A und der gleichen beschrieben wird). In einigen Ausführungsformen stellt das Photonikpackage 100 eine I/O-Schnittstelle (Eingangs-/Ausgangsschnittstelle, input/output) zwischen optischen Signalen und elektrischen Signalen in einem Halbleiterpackage bereit. In einigen Ausführungsformen stellt das Photonikpackage 100 ein optisches Netzwerk für die Signalkommunikation zwischen Komponenten (beispielsweise photonischen Bauelementen, integrierten Schaltungen, Kopplungen zu externen Fasern usw.) innerhalb des Photonikpackages 100 bereit.
  • Mit Bezug auf 1 wird ein vergrabenes Oxid-Substrat 102 (buried oxide, BOX, substrate) gemäß einigen Ausführungsformen bereitgestellt. Das BOX-Substrat 102 umfasst eine Oxidschicht 102B, die über einem Substrat 102C gebildet ist, und eine Siliziumschicht 102A, die über der Oxidschicht 102B gebildet ist. Das Substrat 102C kann ein Material wie beispielsweise Glas, Keramik, ein Dielektrikum, ein Halbleiter oder eine Kombination davon sein. In einigen Ausführungsformen kann das Substrat 102C ein Halbleitersubstrat sein, beispielsweise ein Bulk-Halbleiter oder dergleichen, der dotiert (beispielsweise mit einem p- oder n-Dotierstoff) oder undotiert sein kann. Das Substrat 102C kann ein Wafer sein, beispielsweise ein Silizium-Wafer (beispielsweise ein 12-Zoll-Silizium-Wafer). Andere Substrate, wie beispielsweise ein mehrschichtiges oder Gradientensubstrat, können ebenfalls verwendet werden. In einigen Ausführungsformen kann das Halbleitermaterial des Substrats 102C Silizium, Germanium, einen Verbindungshalbleiter einschließlich Siliziumkarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid, einen Legierungshalbleiter einschließlich SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP oder Kombinationen davon enthalten. Die Oxidschicht 102B kann beispielsweise ein Siliziumoxid oder dergleichen sein. In einigen Ausführungsformen kann die Oxidschicht 102B eine Dicke von etwa 0,5 µm bis etwa 4 µm aufweisen. Die Siliziumschicht 102A kann in einigen Ausführungsformen eine Dicke von etwa 0,1 µm bis etwa 1,5 µm aufweisen. Andere Dicken sind auch möglich. Das BOX-Substrat 102 kann eine Vorderseite oder Vorderseitenfläche (beispielsweise die in 1 nach oben weisende Seite) und eine Rückseite oder Rückseitenfläche (beispielsweise die in 1 nach unten weisende Seite) aufweisen.
  • Mit Bezug auf 2 wird die Siliziumschicht 102A gemäß einigen Ausführungsformen strukturiert, um Siliziumbereiche für Wellenleiter 104, photonische Komponenten 106 und Gitterkoppler 107 zu bilden. Die Siliziumschicht 102A kann durch geeignete Photolithographie- und Ätztechniken strukturiert werden. Beispielsweise kann eine Hartmaskenschicht (beispielsweise eine Nitridschicht oder ein anderes dielektrisches Material, in 2 nicht dargestellt) in einigen Ausführungsformen über der Siliziumschicht 102A gebildet und strukturiert werden. Die Struktur der Hartmaskenschicht kann dann durch einen Ätzprozess auf die Siliziumschicht 102A übertragen werden. Das Ätzverfahren kann beispielsweise ein Trockenätzverfahren und/oder ein Nassätzverfahren sein. Beispielsweise kann die Siliziumschicht 102A geätzt werden, um Aussparungen zu bilden, die die Wellenleiter 104 (auch als Silizium-Wellenleiter 104 bezeichnet) definieren, wobei die Seitenwände der verbleibenden, nicht geätzten Abschnitte die Seitenwände der Wellenleiter 104 definieren. In einigen Ausführungsformen kann mehr als eine Photolithographie- und Ätzsequenz verwendet werden, um die Siliziumschicht 102A zu strukturieren. Ein Wellenleiter 104 oder mehrere Wellenleiter 104 können aus der Siliziumschicht 102A strukturiert werden. Wenn mehrere Wellenleiter 104 gebildet werden, können die mehreren Wellenleiter 104 einzelne separate Wellenleiter 104 sein oder zu einer kontinuierlichen Struktur verbunden sein. In einigen Ausführungsformen bilden einer oder mehrere der Wellenleiter 104 eine kontinuierliche Schleife. Andere Konfigurationen oder Anordnungen der Wellenleiter 104, der photonischen Komponenten 106 oder der Gitterkoppler 107 sind denkbar, und es können andere Arten von photonischen Komponenten 106 oder photonischen Strukturen gebildet werden. In einigen Fällen können die Wellenleiter 104, die photonischen Komponenten 106 und die Gitterkoppler 107 gemeinsam als eine photonische Schicht bezeichnet werden.
  • Die photonischen Komponenten 106 können in die Wellenleiter 104 integriert und mit den Silizium-Wellenleitern 104 gebildet werden. Die photonischen Komponenten 106 können optisch mit den Wellenleitern 104 gekoppelt sein, um mit optischen Signalen in den Wellenleitern 104 zu interagieren. Die photonischen Komponenten 106 können beispielsweise photonische Vorrichtungen umfassen, wie beispielsweise Photodetektoren und/oder Modulatoren. Beispielsweise kann ein Photodetektor optisch mit den Wellenleitern 104 gekoppelt sein, um optische Signale in den Wellenleitern 104 zu erfassen und elektrische Signale zu erzeugen, die den optischen Signalen entsprechen. Ein Modulator kann optisch mit den Wellenleitern 104 gekoppelt sein, um elektrische Signale zu empfangen und entsprechende optische Signale in den Wellenleitern 104 zu erzeugen, indem die optische Leistung in den Wellenleitern 104 moduliert wird. Auf diese Weise erleichtern die photonischen Komponenten 106 die Ein- und Ausgabe (I/O) optischer Signale in die und aus den Wellenleitern 104. In anderen Ausführungsformen können die photonischen Komponenten andere aktive oder passive Komponenten umfassen, wie beispielsweise Laserdioden, optische Signalverteiler oder andere Arten von photonischen Strukturen oder Bauelementen. Die optische Leistung kann den Wellenleitern 104 beispielsweise durch eine optische Faser (siehe beispielsweise 217A und 217B in 25A) zugeführt werden, die mit einer externen Lichtquelle gekoppelt ist, oder die optische Leistung kann durch eine Laserdiode (siehe beispielsweise 400 in 25A) erzeugt werden.
  • In einigen Ausführungsformen können die Fotodetektoren gebildet werden, beispielsweise indem Bereiche der Wellenleiter 104 teilweises geätzt werden und ein epitaktisches Material auf dem verbleibenden Silizium der geätzten Bereiche gezüchtet wird. Die Wellenleiter 104 können durch akzeptable Photolithographie- und Ätztechniken geätzt werden. Das epitaktische Material kann beispielsweise ein Halbleitermaterial wie Germanium (Ge) enthalten, das dotiert oder undotiert sein kann. In einigen Ausführungsformen kann ein Implantationsprozess durchgeführt werden, um Dotierstoffe in das Silizium der geätzten Bereiche als Teil der Herstellung der Fotodetektoren einzubringen. Das Silizium der geätzten Bereiche kann mit p-Dotierstoffen, n-Dotierstoffen oder einer Kombination davon dotiert sein. In einigen Ausführungsformen können die Modulatoren beispielsweise durch teilweises Ätzen von Bereichen der Wellenleiter 104 und anschließendes Implantieren geeigneter Dotierstoffe in das verbleibende Silizium der geätzten Bereiche gebildet werden. Die Wellenleiter 104 können durch akzeptable Photolithographie- und Ätztechniken geätzt werden. In einigen Ausführungsformen können die geätzten Bereiche, die für die Fotodetektoren verwendet werden, und die geätzten Bereiche, die für die Modulatoren verwendet werden, durch einen oder mehrere der gleichen Fotolithografie- oder Ätzschritte hergestellt werden. Das Silizium der geätzten Bereiche kann mit p-Dotierstoffen, n-Dotierstoffen oder einer Kombination davon dotiert sein. In einigen Ausführungsformen können die geätzten Bereiche für die Fotodetektoren und die geätzten Bereiche für die Modulatoren durch einen oder mehrere gleiche Implantationsschritte implantiert werden.
  • In einigen Ausführungsformen können ein oder mehrere Gitterkoppler 107 in die Wellenleiter 104 integriert werden und mit den Wellenleitern 104 gebildet werden. Die Gitterkoppler 107 sind photonische Strukturen, die es ermöglichen, optische Signale und/oder optische Leistung zwischen den Wellenleitern 104 und einer photonischen Komponente, wie beispielsweise einer vertikal montierten optischen Faser (beispielsweise der optischen Faser 217B wie in 25A gezeigt) oder einem Wellenleiter eines anderen photonischen Systems, zu übertragen. Die Gitterkoppler 107 können durch akzeptable photolithographische Techniken und Ätztechniken hergestellt werden. In einer Ausführungsform werden die Gitterkoppler 107 gebildet, nachdem die Wellenleiter 104 definiert sind. Beispielsweise kann ein Photoresist auf den Wellenleitern 104 gebildet und strukturiert werden. Das Photoresist kann mit Öffnungen strukturiert werden, die den Gitterkopplern 107 entsprechen. Ein oder mehrere Ätzprozesse können unter Verwendung des strukturierten Photoresists als eine Ätzmaske durchgeführt werden, um Aussparungen in den Wellenleitern 104 zu bilden, die die Gitterkoppler 107 definieren. Die Ätzverfahren können ein oder mehrere Trockenätzverfahren und/oder Nassätzverfahren umfassen. In einigen Ausführungsformen können auch andere Arten von Kopplern (in den Zeichnungen nicht einzeln mit Bezugszeichen versehen) gebildet werden, beispielsweise eine Struktur, die optische Signale zwischen den Wellenleitern 104 und anderen Wellenleitern des Photonikpackages 100 koppelt, wie beispielsweise den Nitrid-Wellenleitern 134A (siehe 14). Es können auch Randkoppler gebildet werden, die es ermöglichen, optische Signale und/oder optische Leistung zwischen dem Wellenleiter 104 und einer photonischen Komponente zu übertragen, die horizontal nahe einer Seitenwand des Photonikpackages 100 montiert ist. Solche und andere photonische Strukturen fallen in den Geltungsbereich der vorliegenden Offenbarung.
  • Mit Bezug auf 3 wird eine dielektrische Schicht 108 gemäß einigen Ausführungsformen auf der Vorderseite des BOX-Substrats 102 gebildet, um eine photonische Routing-Struktur 110 zu bilden. Die dielektrische Schicht 108 wird über den Wellenleitern 104, den photonischen Komponenten 106, den Gitterkopplern 107 und der Oxidschicht 102B gebildet. Die dielektrische Schicht 108 kann aus einer oder mehreren Schichten aus Siliziumoxid, Siliziumnitrid, einer Kombination davon oder dergleichen gebildet sein und kann durch CVD, PVD, Atomlagenabscheidung (ALD), ein Spin-on-Dielektrikum-Verfahren oder dergleichen oder eine Kombination davon gebildet werden. In einigen Ausführungsformen kann die dielektrische Schicht 108 durch chemische Hochdichtplasma-Gasphasenabscheidung (HDP-CVD), fließfähige CVD (FCVD) (beispielsweise Abscheidung eines CVD-basierten Materials in einem entfernten Plasmasystem und anschließende Aushärtung, um es in ein anderes Material, beispielsweise ein Oxid, umzuwandeln) oder durch eine Kombination davon gebildet werden. Andere dielektrische Materialien können verwendet werden, die durch ein beliebiges akzeptables Verfahren hergestellt werden. In einigen Ausführungsformen wird die dielektrische Schicht 108 dann durch ein Planarisierungsverfahren, wie beispielsweise ein CMP-Verfahren, ein Schleifverfahren oder dergleichen planarisiert. Die dielektrische Schicht 108 kann in einigen Ausführungsformen so gebildet werden, dass sie eine Dicke von etwa 50 nm bis etwa 500 nm über der Oxidschicht 102B aufweist, oder eine Dicke von etwa 10 nm bis etwa 200 nm über den Wellenleitern 104 aufweist. In einigen Fällen kann eine dünnere dielektrische Schicht 108 eine effizientere optische Kopplung zwischen einem Gitterkoppler 107 und einer vertikal montierten photonischen Komponente ermöglichen.
  • Aufgrund der voneinander verschiedenen Brechungsindizes der Materialien der Wellenleiter 104 und der dielektrischen Schicht 108 weisen die Wellenleiter 104 hohe interne Reflexionen auf, so dass das Licht in Abhängigkeit von der Wellenlänge des Lichts und den Brechungsindizes der jeweiligen Materialien im Wesentlichen in den Wellenleitern 104 eingeschlossen ist. In einer Ausführungsform ist der Brechungsindex des Materials der Wellenleiter 104 höher als der Brechungsindex des Materials der dielektrischen Schicht 108. Beispielsweise können die Wellenleiter 104 aus Silizium gebildet sein, und die dielektrische Schicht 108 kann aus Siliziumoxid und/oder Siliziumnitrid gebildet sein.
  • Mit Bezug auf 4 werden Vias (Durchkontaktierungen) 112 und Kontakte 113 gemäß einigen Ausführungsformen in der dielektrischen Schicht 108 gebildet. In einigen Ausführungsformen werden die Vias 112 und die Kontakte 113 als Teil des Bildens der Umverteilungsstruktur 120 gebildet (siehe 5) und in anderen Ausführungsformen werden die Vias 112 nicht gebildet. In einigen Ausführungsformen werden die Vias 112 durch ein Damaszener-Verfahren gebildet, beispielsweise durch ein einfaches Damaszener-Verfahren, doppeltes Damaszener-Verfahren oder dergleichen. Die Vias 112 können beispielsweise durch das Bilden von Öffnungen gebildet werden, die sich durch die dielektrische Schicht 108 erstrecken. In einigen Ausführungsformen können sich die Öffnungen teilweise in die Oxidschicht 102B oder vollständig durch die Oxidschicht 102B erstrecken, um das Substrat 102C freizulegen. In einigen Ausführungsformen können sich die Öffnungen teilweise in das Substrat 102C erstrecken. Die Öffnungen können durch akzeptable Fotolithografie- und Ätztechniken gebildet werden, beispielsweise durch Bilden und Strukturieren eines Photoresists und anschließendes Durchführen eines Ätzprozesses unter Verwendung des strukturierten Photoresists als eine Ätzmaske. Das Ätzverfahren kann beispielsweise ein Trockenätzverfahren und/oder ein Nassätzverfahren sein.
  • Dann kann ein leitfähiges Material gemäß einigen Ausführungsformen in den Öffnungen gebildet werden, wodurch Vias 112 gebildet werden. In einigen Ausführungsformen kann eine Auskleidung, wie beispielsweise eine Diffusionssperrschicht, eine Adhäsionsschicht oder dergleichen, aus TaN, Ta, TiN, Ti, CoW oder dergleichen in den Öffnungen gebildet werden (nicht gezeigt), unter Verwendung eines geeigneten Abscheidungsprozesses wie beispielsweise ALD oder dergleichen. In einigen Ausführungsformen kann dann eine Keimschicht, die Kupfer oder eine Kupferlegierung enthalten kann, in den Öffnungen abgeschieden werden (nicht dargestellt). Das leitfähige Material der Vias 112 kann beispielsweise durch einen Plattierungsprozess in den Öffnungen gebildet werden. Das leitfähige Material kann beispielsweise ein Metall oder eine Metalllegierung sein, wie beispielsweise Kupfer, Silber, Gold, Wolfram, Kobalt, Aluminium oder Legierungen davon. Ein Planarisierungsprozess (beispielsweise ein CMP-Prozess oder ein Schleifprozess) kann durchgeführt werden, um überschüssiges leitfähiges Material entlang der oberen Oberfläche der dielektrischen Schicht 108 zu entfernen, so dass die oberen Oberflächen der Vias 112 und der dielektrischen Schicht 108 eben sind. In anderen Ausführungsformen können die Vias 112 durch andere Techniken oder mit anderen Materialien hergestellt werden.
  • In einigen Ausführungsformen erstrecken sich die Kontakte 113 durch die dielektrische Schicht 108 und sind elektrisch mit den photonischen Komponenten 106 verbunden. Die Kontakte 113 ermöglichen es, dass elektrische Energie oder elektrische Signale an die photonischen Komponenten 106 übertragen werden, und dass elektrische Signale von den photonischen Komponenten 106 übertragen werden. Somit können die photonischen Komponenten 106 elektrische Signale in optische Signale umwandeln, die von den Wellenleitern 104 übertragen werden, und/oder können optische Signale von den Wellenleitern 104 in elektrische Signale umwandeln. Die Kontakte 113 können vor oder nach der Bildung der Vias 112 gebildet werden, und das Bilden der Kontakte 113 und das Bilden der Vias 112 können einige Schritte gemeinsam haben, wie beispielsweise die Abscheidung des leitfähigen Materials und/oder die Planarisierung. In einigen Ausführungsformen werden die Kontakte 113 durch ein Damaszener-Verfahren gebildet, beispielsweise durch ein einfaches Damaszener-Verfahren, doppeltes Damaszener-Verfahren oder dergleichen. In einigen Ausführungsformen werden beispielsweise zunächst Öffnungen (nicht dargestellt) für die Kontakte 113 in der dielektrischen Schicht 108 unter Verwendung akzeptabler Photolithographie- und Ätztechniken gebildet. Anschließend kann ein leitfähiges Material in den Öffnungen gebildet werden, wodurch die Kontakte 113 entstehen. Überschüssiges leitfähiges Material kann durch ein CMP-Verfahren oder dergleichen entfernt werden. Das leitfähige Material der Kontakte 113 kann aus einem Metall oder einer Metalllegierung, einschließlich Aluminium, Kupfer, Wolfram oder dergleichen, gebildet sein, das gleich demjenigen der Vias 112 sein kann. In anderen Ausführungsformen können die Kontakte 113 durch andere Techniken oder Materialien hergestellt werden.
  • In 5 wird eine Umverteilungsstruktur 120 gemäß einigen Ausführungsformen über der dielektrischen Schicht 108 gebildet. Die Umverteilungsstruktur 120 umfasst dielektrische Schichten 117 und leitfähige Strukturelemente 114, die in den dielektrischen Schichten 117 gebildet sind und Verbindungen und elektrisches Routing ermöglichen. Beispielsweise kann die Umverteilungsstruktur 120 die Vias 112, die Kontakte 113 und/oder darüber liegende Bauelemente wie beispielsweise elektronische Dies 122 verbinden (siehe 8). Die dielektrischen Schichten 117 können beispielsweise Isolier- oder Passivierungsschichten sein und sie können aus einem oder mehreren Materialien gebildet sein, die denen wie vorstehend mit Bezug auf die dielektrische Schicht 108 beschrieben, wie beispielsweise Siliziumoxid oder Siliziumnitrid, ähnlich sind, oder sie können aus einem anderen Material gebildet sein. Die dielektrischen Schichten 117 und die dielektrische Schicht 108 können für Licht in einem gleichen Wellenlängenbereich transparent oder nahezu transparent sein. Die dielektrischen Schichten 117 können durch eine ähnliche Technik wie vorstehend mit Bezug auf die dielektrische Schicht 108 beschrieben oder durch eine andere Technik hergestellt werden. Die leitfähigen Strukturelemente 114 können leitfähige Leitungen und Vias umfassen und können durch ein Damaszenerverfahren, beispielsweise ein einfaches Damaszenerverfahren, zweifaches Damaszenerverfahren oder dergleichen, gebildet werden. Wie in 5 dargestellt, werden in der obersten Schicht der dielektrischen Schichten 117 leitfähige Pads 116 gebildet. Ein Planarisierungsprozess (beispielsweise ein CMP-Prozess oder dergleichen) kann nach der Bildung der leitfähigen Pads 116 durchgeführt werden, so dass die Oberflächen der leitfähigen Pads 116 und der obersten dielektrischen Schicht 117 im Wesentlichen koplanar sind. Die Umverteilungsstruktur 120 kann mehr oder weniger dielektrische Schichten 117, leitfähige Strukturelemente 114 oder leitfähige Pads 116 aufweisen als in 5 dargestellt. Die Umverteilungsstruktur 120 kann in einigen Ausführungsformen mit einer Dicke von etwa 4 µm bis etwa 8 µm gebildet werden. Andere Dicken sind denkbar.
  • Mit Bezug auf 6 und 7 wird in einigen Ausführungsformen ein Teil der Umverteilungsstruktur 120 entfernt und durch eine dielektrische Schicht 115 ersetzt. Der entfernte Teil der Umverteilungsstruktur 120 kann über, oder näherungsweise über, einem Gitterkoppler 107 liegen. Das Material der dielektrischen Schicht 115 kann eine effizientere optische Kopplung zwischen einem Gitterkoppler 107 und einer vertikal montierten optischen Faser (siehe optische Faser 217B in 25A) bereitstellen als das Material der dielektrischen Schichten 117 der Umverteilungsstruktur 120. Beispielsweise kann die dielektrische Schicht 115 transparenter, weniger verlustbehaftet oder weniger reflektierend sein als die dielektrischen Schichten 117. In einigen Ausführungsformen ist das Material der dielektrischen Schicht 115 demjenigen der dielektrischen Schichten 117 ähnlich, wird aber durch eine Technik abgeschieden, die das Material mit einer besseren Qualität (beispielsweise weniger Verunreinigungen, weniger Versetzungen usw.) bildet. Auf diese Weise kann das Ersetzen eines Teils der dielektrischen Schichten 117 der Umverteilungsstruktur 120 durch die dielektrische Schicht 115 einen effizienteren Betrieb des Photonikpackages 100 ermöglichen und optische Signalverluste verringern.
  • Mit Bezug auf 6 kann der Teil der Umverteilungsstruktur 120 entfernt werden, beispielsweise unter Verwendung akzeptabler Fotolithografie- und Ätztechniken wie Bilden und Strukturieren eines Photoresists und anschließendes Durchführen eines Ätzprozesses zum Entfernen der dielektrischen Schichten 117 unter Verwendung des strukturierten Photoresists als eine Ätzmaske. Das Ätzverfahren kann beispielsweise ein Trockenätzverfahren und/oder ein Nassätzverfahren sein.
  • Mit Bezug auf 7 wird die dielektrische Schicht 115 abgeschieden, um den entfernten Teil der Umverteilungsstruktur 120 zu ersetzen. Die dielektrische Schicht 115 kann aus einem oder mehreren Materialien gebildet sein, die denjenigen wie vorstehend mit Bezug auf die dielektrische Schicht 108 beschrieben ähnlich sind, wie beispielsweise einem Siliziumoxid oder Siliziumnitrid, einem Spin-on-Glas oder einem anderen Material. Die dielektrische Schicht 115 und die dielektrische Schicht 108 können für Licht in einem gleichen Wellenlängenbereich transparent oder nahezu transparent sein. Die dielektrische Schicht 115 kann durch eine ähnliche Technik wie vorstehend mit Bezug auf die dielektrische Schicht 108 beschrieben oder durch eine andere Technik hergestellt werden. Die dielektrische Schicht 115 kann beispielsweise durch CVD, PVD, Aufschleudern oder dergleichen hergestellt werden, aber auch ein anderes Verfahren ist denkbar. In einigen Ausführungsformen wird ein Planarisierungsprozess (beispielsweise ein CMP- oder Schleifprozess) verwendet, um überschüssiges Material der dielektrischen Schicht 115 zu entfernen. Der Planarisierungsprozess kann auch die leitfähigen Pads 116 freilegen. Nach Durchführung des Planarisierungsprozesses können die dielektrische Schicht 115, die oberste dielektrische Schicht 117 und/oder die leitfähigen Pads 116 im Wesentlichen ebene Oberflächen aufweisen.
  • In anderen Ausführungsformen wird die Umverteilungsstruktur 120 nicht geätzt und die dielektrische Schicht 115 wird nicht gebildet. In solchen Ausführungsformen können Bereiche der Umverteilungsstruktur 120 im Wesentlichen frei von den leitfähigen Strukturelementen 114 oder leitfähigen Pads 116 sein, um die Übertragung von optischer Leistung oder optischen Signalen durch die dielektrischen Schichten 117 zu ermöglichen. Diese metallfreien Bereiche können sich beispielsweise zwischen einem Gitterkoppler 107 und eine vertikal montierte optische Faser (siehe optische Faser 217B in 25A) erstrecken, damit optische Leistung oder optische Signale zwischen den Wellenleitern 104 und der optischen Faser eingekoppelt werden können. In einigen Fällen kann eine dünnere Umverteilungsstruktur 120 eine effizientere optische Kopplung zwischen einem Gitterkoppler 107 und einer vertikal montierten optischen Faser ermöglichen.
  • Mit Bezug auf 8 werden ein oder mehrere elektronische Dies 122 gemäß einigen Ausführungsformen an die Umverteilungsstruktur 120 gebondet. Die elektronischen Dies 122 können beispielsweise Halbleiterbauelemente, Dies oder Chips sein, die über elektrische Signale mit den photonischen Komponenten 106 kommunizieren. In den dargestellten Ausführungsformen empfängt, überträgt oder verarbeitet der elektronische Die 122 keine optischen Signale. Im Folgenden wird der Begriff „elektronischer Die“ zur Unterscheidung von einem „photonischen Die“ (siehe beispielsweise 151 in 17) verwendet, der sich auf einen Die bezieht, der optische Signale empfangen, übertragen oder verarbeiten kann, wie beispielsweise Umwandeln eines optischen Signals in ein elektrisches Signal oder umgekehrt. Neben optischen Signalen kann der photonische Die auch elektrische Signale senden, empfangen oder verarbeiten. Während in 8 ein elektronischer Die 122 dargestellt ist, kann ein Photonikpackage 100 in anderen Ausführungsformen zwei oder mehr elektronische Dies 122 enthalten. In einigen Fällen können mehrere elektronische Dies 122 in ein einziges Photonikpackage 100 integriert werden, um die Verarbeitungskosten zu senken. Der elektronische Die 122 weist Die-Verbinder 124 auf, die beispielsweise leitfähige Pads, leitfähige Säulen oder dergleichen sein können. In einigen Ausführungsformen kann der elektronische Die 122 eine Dicke von etwa 10 µm bis etwa 35 µm, und beispielsweise etwa 25 µm, aufweisen. Andere Dicken sind denkbar.
  • Der elektronische Die 122 kann integrierte Schaltungen für die Verbindung mit den photonischen Komponenten 106 enthalten, wie beispielsweise Schaltungen zur Steuerung des Betriebs der photonischen Komponenten 106. Beispielsweise kann der elektronische Die 122 beispielsweise Controller, Treiber, Transimpedanzverstärker oder dergleichen oder Kombinationen davon aufweisen. In einigen Ausführungsformen kann der elektronische Die 122 auch eine CPU aufweisen. In einigen Ausführungsformen weist der elektronische Die 122 Schaltungen zur Verarbeitung von elektrischen Signalen auf, die von photonischen Komponenten 106 empfangen werden, beispielsweise zur Verarbeitung von elektrischen Signalen, die von einer photonischen Komponente 106 mit einem Photodetektor empfangen werden. In einigen Ausführungsformen kann der elektronische Die 122 die Hochfrequenzsignalisierung der photonischen Komponenten 106 entsprechend den elektrischen Signalen (digital oder analog) steuern, die von einem anderen Bauelement oder Die empfangen werden. In einigen Ausführungsformen kann der elektronische Die 122 ein elektronischer integrierter Schaltkreis (electronic integrated circuit, EIC) oder dergleichen sein, der Serializer/Deserializer-Funktionalität (SerDes-Funktionalität) bereitstellt. Auf diese Weise kann der elektronische Die 122 als Teil einer I/O-Schnittstelle zwischen optischen Signalen und elektrischen Signalen innerhalb eines Photonikpackages 100 dienen. In einigen Ausführungsformen können die hierin beschriebenen Photonikpackages 100 als SoC-Vorrichtungen (System-on-Chip) oder SoIC-Vorrichtungen (System-on-Integrated-Circuit) angesehen werden.
  • In einigen Ausführungsformen ist der elektronische Die 122 durch Dielektrikum-zu-Dielektrikum-Bonden und/oder Metall-zu-Metall-Bonden (beispielsweise durch direktes Bonden, Schmelzbonden, Oxid-zu-Oxid-Bonden, hybrides Bonden oder dergleichen) an die Umverteilungsstruktur 120 gebondet. In solchen Ausführungsformen können kovalente Bindungen zwischen Oxidschichten, wie beispielsweise der obersten dielektrischen Schicht 117 und den dielektrischen Oberflächenschichten (nicht gezeigt) des elektronischen Dies 122, gebildet werden. Während des Bondens kann auch eine Metallbindung zwischen den Die-Verbindern 124 des elektronischen Dies 122 und den leitfähigen Pads 116 der Umverteilungsstruktur 120 stattfinden.
  • In einigen Ausführungsformen wird vor der Durchführung des Bonding-Prozesses eine Oberflächenbehandlung des elektronischen Dies 122 durchgeführt. In einigen Ausführungsformen können die Oberseiten der Umverteilungsstruktur 120 und/oder des elektronischen Dies 122 zunächst aktiviert werden, beispielsweise durch eine Trockenbehandlung, eine Nassbehandlung, eine Plasmabehandlung, Einwirkung eines Inertgases, Einwirkung von H2 , Einwirkung von N2 , Einwirkung von O2 oder ähnliche Verfahren oder Kombinationen davon. Allerdings kann jedes geeignete Aktivierungsverfahren verwendet werden. Nach dem Aktivierungsprozess kann die Umverteilungsstruktur 120 und/oder der elektronische Die 122 gereinigt werden, beispielsweise durch eine chemische Spülung. Der elektronische Die 122 wird dann auf die Umverteilungsstruktur 120 ausgerichtet und in physischen Kontakt mit der Umverteilungsstruktur 120 gebracht. Der elektronische Die 122 kann beispielsweise durch ein Pick-and-Place-Verfahren auf der Umverteilungsstruktur 120 platziert werden. Die Umverteilungsstruktur 120 und der elektronische Die 122 können dann einer Wärmebehandlung unterzogen und/oder gegeneinander gepresst werden (beispielsweise durch Anwendung von Anpressdruck), um die Umverteilungsstruktur 120 und den elektronischen Die 122 aneinander zu bonden. Beispielsweise können die Umverteilungsstruktur 120 und der elektronische Die 122 einem Druck von etwa 200 kPa oder weniger ausgesetzt werden bei einer Temperatur zwischen etwa 200 °C und etwa 400 °C. Die Umverteilungsstruktur 120 und der elektronische Die 122 können dann einer Temperatur ausgesetzt werden, die bei oder über dem eutektischen Punkt des Materials der leitfähigen Pads 116 und der Die-Verbinder 124 liegt (beispielsweise zwischen etwa 150°C und etwa 650 °C), um die leitfähigen Pads 116 und die Die-Verbinder 124 zu verschmelzen. Auf diese Weise bildet die dielektrische Verbindung und/oder die Metall-Metall-Verbindung zwischen der Umverteilungsstruktur 120 und dem elektronischen Die 122 eine gebondete Struktur. In einigen Ausführungsformen wird die gebondete Struktur gebacken, getempert, gepresst oder anderweitig behandelt, um die Verbindungen zu verstärken oder zu vervollständigen.
  • In 9 wird ein dielektrisches Material 126 gemäß einigen Ausführungsformen über den elektronischen Dies 122 und der Umverteilungsstruktur 120 gebildet. Das dielektrische Material 126 kann aus Siliziumoxid, Siliziumnitrid, einem Polymer oder dergleichen oder einer Kombination davon gebildet sein. Das dielektrische Material 126 kann durch CVD, PVD, ALD, ein Spin-on-Dielektrikum-Verfahren oder dergleichen oder eine Kombination davon hergestellt werden. In einigen Ausführungsformen kann das dielektrische Material 126 durch HDP-CVD, FCVD oder dergleichen oder eine Kombination davon hergestellt werden. Das dielektrische Material 126 kann in einigen Ausführungsformen ein Spaltfüllmaterial sein, das eines oder mehrere der oben genannten Beispielmaterialien enthalten kann. In einigen Ausführungsformen kann das dielektrische Material 126 ein Material (beispielsweise Siliziumoxid) sein, das bei Wellenlängen, die für die Übertragung von optischen Signalen oder optischer Leistung zwischen dem Gitterkoppler 107 und einer vertikal montierten optischen Faser geeignet sind, im Wesentlichen lichtdurchlässig ist (siehe beispielsweise 217B in 25A). In einigen Ausführungsformen, in denen kein Gitterkoppler 107 vorhanden ist, kann das dielektrische Material 126 aus einem relativ lichtundurchlässigen Material gebildet sein, wie beispielsweise eines Verkapselungsmaterials, eines Formmaterials oder dergleichen. Es können auch andere dielektrische Materialien verwendet werden, die durch ein beliebiges akzeptables Verfahren hergestellt werden. Das dielektrische Material 126 kann durch ein Planarisierungsverfahren, wie beispielsweise ein CMP-Verfahren, ein Schleifverfahren oder dergleichen, planarisiert werden. In einigen Ausführungsformen kann das Planarisierungsverfahren die elektronischen Dies 122 so freilegen, dass die Oberflächen der elektronischen Dies 122 und die Oberflächen des dielektrischen Materials 126 koplanar sind.
  • Die Verwendung von Dielektrikum-zu-Dielektrikum-Bonden kann es ermöglichen, dass Materialien, die bei den relevanten Lichtwellenlängen transparent sind, über der Umverteilungsstruktur 120 und/oder um den elektronischen Die 122 abgeschieden werden, anstelle lichtundurchlässiger Materialien wie eines Verkapselungsmaterials oder eines Formmaterials. Beispielsweise kann das dielektrische Material 126 aus einem geeignet transparenten Material wie Siliziumoxid gebildet werden, anstelle eines lichtundurchlässigen Materials wie eines Formmaterials. Die Verwendung eines geeignet transparenten Materials für das dielektrische Material 126 auf diese Weise ermöglicht die Übertragung optischer Signale durch das dielektrische Material 126, beispielsweise die Übertragung optischer Signale zwischen einem Gitterkoppler 107 und einer vertikal montierten optischen Faser (siehe beispielsweise 217B in 25A) über dem dielektrischen Material 126. Ferner kann durch das Bonden des elektronischen Dies 122 an die Umverteilungsstruktur 120 auf diese Weise die Dicke des resultierenden Photonikpackages 100 verringert werden und die optische Kopplung zwischen einem Gitterkoppler 107 und einer vertikal montierten optischen Faser kann verbessert werden. In einigen Fällen kann dies die Größe oder die Verarbeitungskosten eines Photonikpackages reduzieren und die optische Kopplung mit externen Komponenten kann verbessert werden.
  • In 10 wird ein optionaler Stützkörper 128 gemäß einigen Ausführungsformen an der Struktur angebracht. Der Stützkörper 128 ist eine starre Struktur, die an der Struktur angebracht ist, um strukturelle oder mechanische Stabilität zu gewährleisten. Die Verwendung eines Trägers 128 kann die Verformung oder Verbiegung reduzieren, was die Leistung der optischen Strukturen wie der Wellenleiter 104 oder der photonischen Komponenten 106 verbessern kann. Der Stützkörper 128 kann aus einem oder mehreren Materialien wie Silizium (beispielsweise einem Silizium-Wafer, Bulk-Silizium oder dergleichen), einem Siliziumoxid, einem Metall, einem organischen Kernmaterial oder dergleichen oder einer anderen Art von Material gebildet sein. Der Stützkörper 128 kann an der Struktur (beispielsweise an dem dielektrischen Material 126 und/oder den elektronischen Dies 122) unter Verwendung einer Klebeschicht 127 angebracht werden, wie in 10 gezeigt, oder der Stützkörper 128 kann durch direktes Bonden oder eine andere geeignete Technik angebracht werden. In einigen Ausführungsformen kann der Stützkörper 128 eine Dicke von etwa 500 µm bis etwa 700 µm aufweisen. Der Stützkörper 128 kann auch seitliche Abmessungen (beispielsweise Länge, Breite und/oder Fläche) haben, die größer, etwa gleich groß oder kleiner als die der Struktur sind. In anderen Ausführungsformen wird der Stützkörper 128 in einem späteren Prozessschritt als dargestellt bei der Herstellung des Photonikpackages 100 angebracht.
  • Im Beispiel von 10 ist eine Mikrolinse 131 in den Stützkörper 128 an der Oberseite des Trägers 128 eingebettet. In einigen Ausführungsformen wird ein Ätzprozess durchgeführt, um einen Teil des Trägers 128 zu entfernen, um eine Aussparung an der Stelle der Mikrolinse 131 zu bilden, dann wird eine vorgeformte Mikrolinse 131 in die Aussparung in dem Stützkörper 128 eingesetzt. In anderen Ausführungsformen wird die Mikrolinse 131 insitu in der Aussparung gebildet, indem ein geeignetes Material in der Aussparung abgeschieden wird, nachdem die Aussparung in dem Stützkörper 128 gebildet wird. Anschließend wird eine dielektrische Schicht 129 über dem Stützkörper 128 gebildet und ein Indexanpassungsmaterial 133 wird in der dielektrischen Schicht 129 über (beispielsweise direkt über) der Mikrolinse 131 gebildet. Die dielektrische Schicht 129 kann aus einem geeigneten Material, wie beispielsweise Siliziumoxid, Siliziumnitrid, einem Polymermaterial oder dergleichen, durch einen geeigneten Abscheidungsprozess gebildet werden. Anschließend wird ein Ätzverfahren durchgeführt, um einen Teil der dielektrischen Schicht 129 zu entfernen und eine Aussparung über der Mikrolinse 131 zu bilden. Das Indexanpassungsmaterial 133 wird dann in die Aussparung in der dielektrischen Schicht 129 abgeschieden. Ein Planarisierungsprozess, wie beispielsweise CMP, kann durchgeführt werden, um eine koplanare obere Oberfläche zwischen der dielektrischen Schicht 129 und dem Indexanpassungsmaterial 133 zu erhalten. In einigen Ausführungsformen wird das Indexanpassungsmaterial 133 verwendet, um den Lichtverlust für Licht zu verringern, das von einer vertikal montierten optischen Faser kommt oder in sie eintritt (siehe beispielsweise 217B in 25A), und weist einen Brechungsindex von beispielsweise etwa 1,4 auf, um dem Brechungsindex von Siliziumoxid zu entsprechen. In einigen Ausführungsformen entfallen die dielektrische Schicht 129 und das Indexanpassungsmaterial 133.
  • In 11 wird die Struktur aus 10 gemäß einigen Ausführungsformen umgedreht und an einem Träger 130 angebracht. Der Träger 140 kann beispielsweise ein Wafer (beispielsweise ein Siliziumwafer), eine Platte, ein Glassubstrat, ein Keramiksubstrat oder dergleichen sein. Die Struktur kann beispielsweise unter Verwendung eines Klebstoffs oder einer Trennschicht (nicht dargestellt) an dem Träger 140 angebracht werden.
  • In 12 wird das Substrat 102C gemäß einigen Ausführungsformen entfernt. Das Substrat 102C kann durch einen Planarisierungsprozess (beispielsweise einen CMP- oder Schleifprozess), einen Ätzprozess, eine Kombination davon oder dergleichen entfernt werden. In einigen Ausführungsformen wird auch die Oxidschicht 102B verdünnt. Die Oxidschicht 102B kann als Teil des Entfernungsprozesses des Substrats 102C verdünnt werden, oder die Oxidschicht 102B kann in einem separaten Schritt verdünnt werden. Die Oxidschicht 102B kann beispielsweise durch einen Planarisierungsprozess, einen Ätzprozess, eine Kombination davon oder dergleichen verdünnt werden. In einigen Ausführungsformen kann die Oxidschicht 102B nach dem Verdünnen eine Dicke von etwa 0,1 µm bis etwa 1,0 µm aufweisen. Andere Dicken sind denkbar. In einigen Fällen kann das Verdünnen der Oxidschicht 102B die optische Kopplung zwischen einem Wellenleiter 104 und einem Nitrid-Wellenleiter 134 verbessern (siehe 14).
  • In den 13 und 14 werden Nitrid-Wellenleiter 134A gemäß einigen Ausführungsformen über der Oxidschicht 102B gebildet. In 13 wird eine Siliziumnitridschicht 132 auf der Oxidschicht 102B abgeschieden. Die Siliziumnitridschicht 132 kann durch ein geeignetes Abscheideverfahren, wie CVD, PECVD, LPCVD, PVD oder dergleichen, hergestellt werden. In einigen Ausführungsformen wird die Siliziumnitridschicht 132 mit einer Dicke von etwa 0,2 µm bis etwa 1,0 µm gebildet, wobei andere Dicken auch denkbar sind.
  • In 14 wird die Siliziumnitridschicht 132 gemäß einigen Ausführungsformen strukturiert, um die Nitrid-Wellenleiter 134A zu bilden. Der Einfachheit halber werden die Nitrid-Wellenleiter 134A und die anschließend gebildeten Nitrid-Wellenleiter 134B, 134C und 134D (siehe beispielsweise 16) gemeinsam als Nitrid-Wellenleiter 134 bezeichnet. Der Nitrid-Wellenleiter 134 kann unter Verwendung von akzeptablen Photolithographie- und Ätztechniken strukturiert werden. Beispielsweise kann eine Hartmaskenschicht in einigen Ausführungsformen über der Siliziumnitridschicht 132 gebildet und strukturiert werden. Die Struktur der Hartmaskenschicht kann dann durch ein Ätzverfahren auf die Siliziumnitridschicht 132 übertragen werden. Das Ätzverfahren kann beispielsweise ein Trockenätzverfahren und/oder ein Nassätzverfahren sein. Das Ätzverfahren kann selektiv auf Siliziumnitrid angewendet werden, in Kontrast zu Siliziumoxid oder anderen Materialien. Die Siliziumnitridschicht 132 kann geätzt werden, um Aussparungen zu bilden, die die Nitrid-Wellenleiter 134 definieren, wobei die Seitenwände der verbleibenden, nicht geätzten Teile die Seitenwände der Nitrid-Wellenleiter 134 definieren. In einigen Ausführungsformen kann mehr als eine Photolithographie- und Ätzsequenz verwendet werden, um die Siliziumnitridschicht 132 zu strukturieren. Ein Nitrid-Wellenleiter 134 oder mehrere Nitrid-Wellenleiter 134 können aus der Siliziumnitridschicht 132 strukturiert werden. Wenn mehrere Nitrid-Wellenleiter 134 gebildet werden, können die mehreren Nitrid-Wellenleiter 134 einzelne separate Nitrid-Wellenleiter 134 sein oder als eine einzige kontinuierliche Struktur verbunden sein. In einigen Ausführungsformen bilden einer oder mehrere der Nitrid-Wellenleiter 134 eine kontinuierliche Schleife. In einigen Ausführungsformen können die Nitrid-Wellenleiter 134 photonische Strukturen wie Gitterkoppler, Kantenkoppler oder Koppler (beispielsweise Modenwandler) aufweisen, die die Übertragung optischer Signale zwischen zwei Nitrid-Wellenleitern 134 und/oder zwischen einem Nitrid-Wellenleiter 134 und einem Wellenleiter 104 ermöglichen.
  • In einigen Fällen kann ein aus Siliziumnitrid gebildeter Wellenleiter (beispielsweise Nitrid-Wellenleiter 134) Vorteile gegenüber einem aus Silizium gebildeten Wellenleiter (beispielsweise Wellenleiter 104) haben. Beispielsweise weist Siliziumnitrid eine höhere Dielektrizitätskonstante auf als Silizium, und somit kann ein Nitrid-Wellenleiter einen größeren Einschluss des Lichts aufweisen kann als ein Silizium-Wellenleiter. Dies kann auch dazu führen, dass die Leistung oder Leckage von Nitrid-Wellenleitern weniger empfindlich auf Prozessvariationen, weniger empfindlich auf Maßeinheitlichkeit und weniger empfindlich auf Oberflächenrauhigkeit (beispielsweise Randrauhigkeit oder Linienbreitenrauhigkeit) reagiert. In einigen Fällen kann die geringere Prozessempfindlichkeit dazu führen, dass Nitrid-Wellenleiter einfacher oder kostengünstiger zu verarbeiten sind als Silizium-Wellenleiter. Diese Eigenschaften können dazu führen, dass ein Nitrid-Wellenleiter einen geringeren Ausbreitungsverlust als ein Silizium-Wellenleiter aufweist. In einigen Fällen kann der Ausbreitungsverlust (dB/cm) eines Nitrid-Wellenleiters etwa 0,1 % bis etwa 50 % eines Silizium-Wellenleiters liegen. In einigen Fällen kann ein Nitrid-Wellenleiter auch weniger empfindlich auf die Umgebungstemperatur reagieren als ein Silizium-Wellenleiter. Beispielsweise kann ein Nitrid-Wellenleiter eine Temperaturempfindlichkeit aufweisen, die nur etwa 1 % derjenigen eines Silizium-Wellenleiters beträgt. Auf diese Weise können die hierin beschriebenen Ausführungsformen die Bildung eines Photonikpackages ermöglichen, das sowohl Nitrid-Wellenleiter (beispielsweise Nitrid-Wellenleiter 134) als auch Silizium-Wellenleiter (beispielsweise Wellenleiter 104) aufweist.
  • Mit Bezug weiter auf 14 wird ein Reflektor 145 auf der Oxidschicht 102B über dem Gitterkoppler 107 gebildet. Der Reflektor 145 kann eingerichtet sein, das Licht von einer photonischen Komponente, wie beispielsweise einer vertikal montierten optischen Faser 217B, zu reflektieren, und kann eine effizientere Kopplung zwischen einem Gitterkoppler 107 und der photonischen Komponente ermöglichen. Der Reflektor 145 kann aus einem oder mehreren dielektrischen Materialien, Metallmaterialien oder dergleichen gebildet sein, die unter Verwendung geeigneter Abscheideverfahren abgeschieden werden können. Nach der Abscheidung des Reflektormaterials 145 kann der Reflektor 145 durch geeignete Techniken gebildet werden, beispielsweise durch fotolithografische Strukturierungs- und Ätztechniken. Andere Techniken zur Herstellung eines Reflektors 145 sind denkbar.
  • Mit Bezug auf 15 wird eine dielektrische Schicht 135 gemäß einigen Ausführungsformen über den Nitrid-Wellenleitern 134 dargestellt. Die dielektrische Schicht 135 kann aus einem oder mehreren Materialien gebildet sein, die denjenigen wie vorstehend mit Bezug auf die dielektrische Schicht 108 oder die dielektrische Schicht 115 beschrieben ähnlich sind. Beispielsweise kann die dielektrische Schicht 135 aus Siliziumoxid, Spin-on-Glas oder dergleichen gebildet sein. Die dielektrische Schicht 135 kann durch eine ähnliche Technik wie diejenige wie vorstehend mit Bezug auf die dielektrische Schicht 108 oder die dielektrische Schicht 115 beschrieben hergestellt werden oder durch eine andere Technik hergestellt werden. Die dielektrische Schicht 135 kann beispielsweise durch CVD, PVD, Aufschleudern oder dergleichen gebildet werden, wobei auch ein anderes Verfahren verwendet werden kann. In einigen Ausführungsformen wird ein Planarisierungsprozess (beispielsweise ein CMP- oder Schleifprozess) verwendet, um überschüssiges Material der dielektrischen Schicht 135 zu entfernen. Nach der Planarisierung kann die dielektrische Schicht 135 in einigen Ausführungsformen eine Dicke von etwa 0,5 µm bis etwa 2 µm aufweisen. Andere Dicken sind denkbar.
  • Als nächstes wird in 16 eine dielektrische Schicht 138A über der dielektrischen Schicht 135 gebildet, ein Nitrid-Wellenleiter 134B wird über der dielektrischen Schicht 138A gebildet, und eine dielektrische Schicht 148A wird dann über dem Nitrid-Wellenleiter 134B und der dielektrischen Schicht 138A gebildet. Die dielektrischen Schichten 138A/148A und der Nitrid-Wellenleiter 134B können aus einem gleichen oder einem ähnlichen Material durch ein gleiches oder ein ähnliches Herstellungsverfahren hergestellt werden wie mit Bezug auf die dielektrische Schicht 135 und den Nitrid-Wellenleiter 134A beschrieben, so dass Einzelheiten an dieser Stelle nicht wiederholt werden. Die gleiche Verarbeitung kann wiederholt werden, um zusätzliche dielektrische Schichten (beispielsweise 138B, 148B) und zusätzliche Nitrid-Wellenleiter (beispielsweise 134C, 134D) zu bilden. Die Anzahl der Nitrid-Wellenleiter und die Anzahl der dielektrischen Schichten über der dielektrischen Schicht 135 in 16 ist lediglich ein nicht begrenzendes Beispiel. Andere Zahlen sind ebenfalls möglich und fallen in vollem Umfang in den Geltungsbereich der vorliegenden Offenbarung.
  • Anschließend werden Vias 152 gebildet, die sich durch die dielektrischen Schichten (beispielsweise 102B, 135, 138A, 148A, 138B und 148B) erstrecken und mit den Vias 112 verbunden sind. Leitfähige Pads 153 werden in der dielektrischen Schicht 148B über den jeweiligen Vias 152 gebildet. Die Vias 152 und die leitfähigen Pads 153 können durch gleiche oder ähnliche Verfahren gebildet werden wie vorstehend mit Bezug auf die Vias 112 und die leitfähigen Pads 116 beschrieben, so dass Einzelheiten an dieser Stelle nicht wiederholt werden. Während in 16 ein Photonikpackage 100 dargestellt ist, erkennt der Fachmann, dass zehn, hunderte oder mehr identische Photonikpackage gleichzeitig auf dem Träger 140 gebildet werden können. In einigen Ausführungsformen wird ein Vereinzelungsprozess durchgeführt, um die mehreren Photonikpackages in einzelne Photonikpackages 100 aufzuteilen.
  • 17 zeigt das Photonikpackage 100, nachdem der Träger 140 entfernt ist. Im Beispiel von 17 wird die Struktur unterhalb des elektronischen Dies 122 als photonischer Die 151 bezeichnet, der die Umverteilungsstruktur 120, die dielektrischen Schichten 115, 108, 102B, 135, 138A, 138B, 148A und 148B sowie in den dielektrischen Schichten gebildete Komponenten wie den Wellenleiter 104, die photonische Komponente 106, den Gitterkoppler 107, den Reflektor 145 und die Nitrid-Wellenleiter 134 (beispielsweise 134A, 134B, 134C und 134D) umfasst. Daher umfasst das Photonikpackage 100 einen elektronischen Die 122, der an einen photonischen Die 151 gebondet ist, und kann optional einen Stützkörper 128, die Mikrolinse 131, die dielektrische Schicht 129 und das Indexanpassungsmaterial 133 umfassen.
  • Es ist zu beachten, dass sich die Wellenleiter (beispielsweise 104, 134A, 134B und 134C) in benachbarten (beispielsweise unmittelbar benachbarten) dielektrischen Schichten in 17 seitlich überlappen. In 17 liegt der Nitrid-Wellenleiter 134A beispielsweise innerhalb der seitlichen Ausdehnung des Wellenleiters 104, mindestens ein Teil des Nitrid-Wellenleiters 134A liegt innerhalb der seitlichen Ausdehnung des Nitrid-Wellenleiters 134B, und mindestens ein Teil des Nitrid-Wellenleiters 134B liegt innerhalb der seitlichen Ausdehnung des Nitrid-Wellenleiters 134C. Da eine optische Kopplung zwischen eng beieinander liegenden Wellenleitern stattfinden kann, kann, aufgrund der sich überlappende Ausbildung der Wellenleiter, ein „optischer Durchgang“ (siehe beispielsweise 160 in 25B) durch diese Wellenleiter (beispielsweise 104, 134A, 134B, 134C) gebildet werden, der es ermöglicht, optische Signale in der vertikalen Richtung von 17 durch die optische Kopplung zwischen benachbarten Wellenleitern zu übertragen (beispielsweise weiterzuleiten). Einzelheiten des optischen Durchgangs werden im Folgenden erläutert.
  • 18 zeigt eine Querschnittsansicht eines Photonikpackages 100A gemäß einer anderen Ausführungsform. Das Photonikpackage 100A ist dem Photonikpackage 100 von 17 ähnlich, weist aber einen photonischen Die 161 auf, der an den photonischen Die 151 gebondet ist. Wie in 18 dargestellt, ist der photonische Die 161 dem photonischen Die 151 ähnlich, weist aber zusätzlich Nitrid-Wellenleiter 134 auf, die in der dielektrischen Schicht 115 des photonischen Die 161 gebildet sind. In einigen Ausführungsformen kann der vertikale Abstand zwischen dem Wellenleiter 104 des photonischen Die 161 und dem untersten Nitrid-Wellenleiter 134 des photonischen Die 151 zu groß sein, um eine optische Kopplung zu ermöglichen, und daher sind die Nitrid-Wellenleiter 134 in der dielektrischen Schicht 115 des photonischen Die 161 als ein optisches Zwischenmedium gebildet, um den großen vertikalen Abstand auseinander zu brechen und eine optische Kopplung zwischen den photonischen Die 151 und 161 zu ermöglichen. Während in 18 zwei photonische Dies dargestellt sind, kann die Anzahl der photonischen Dies in dem Photonikpackage 100A beliebig sein. Diese und andere Variationen sollen in vollem Umfang in den Geltungsbereich der vorliegenden Offenbarung aufgenommen werden.
  • In der folgenden Diskussion wird das Photonikpackage 100 in 17 in verschiedenen Ausführungsformen zur Bildung von Halbleiterpackages verwendet. Ein Fachmann wird leicht erkennen, dass Variationen des Photonikpackages 100 wie beispielsweise das Photonikpackage 100A das Photonikpackage 100 in den verschiedenen Ausführungsformen ersetzen können, um Halbleiterpackages zu bilden. Diese und andere Variationen sollen vollständig in den Geltungsbereich der vorliegenden Offenbarung aufgenommen werden.
  • 19 bis 22 zeigen Querschnittsansichten eines Interposers 50 mit einem Wellenleiter in verschiedenen Herstellungsstadien gemäß einer Ausführungsform. In verschiedenen Ausführungsformen, die nachstehend erläutert werden, wird das oben beschriebene Photonikpackage (beispielsweise 100 oder 100A) an den Interposer 50 (oder seinen Varianten) gebondet, um verschiedene Halbleiterpackages zu bilden.
  • 19 zeigt ein Substrat 11 aufweisend TSVs (through substrate vias) 13. Das Substrat 11 kann beispielsweise ein dotiertes oder undotiertes Siliziumsubstrat oder eine aktive Schicht eines SOI-Substrats (silicon-on-insulator) sein. Das Substrat 11 kann allerdings auch ein Glassubstrat, ein Keramiksubstrat, ein Polymersubstrat oder ein beliebiges anderes Substrat sein, das eine geeignete Schutz- und/oder Verbindungsfunktion bietet. Diese und alle anderen geeigneten Materialien können alternativ für das Substrat 11 verwendet werden.
  • Die TSVs 13 können gebildet werden, indem das Substrat 11 geätzt wird, um TSV-Öffnungen zu erzeugen, und die TSV-Öffnungen mit leitfähigem(n) Material(en), wie beispielsweise einer Auskleidung (in 19 nicht separat dargestellt), einer Sperrschicht (in 19 ebenfalls nicht separat dargestellt) und einem leitfähigen Material, gefüllt werden. In einer Ausführungsform kann die Auskleidung ein dielektrisches Material wie Siliziumnitrid, Siliziumoxid, ein dielektrisches Polymer, Kombinationen dieser Materialien oder dergleichen sein, das durch ein Verfahren wie beispielsweise chemische Gasphasenabscheidung, Oxidation, physikalische Gasphasenabscheidung, ALD oder dergleichen hergestellt wird. Die Sperrschicht kann ein elektrisch leitfähiges Material wie Titannitrid, Tantalnitrid, Titan, Tantal oder dergleichen sein, das durch ein CVD-Verfahren (beispielsweise PECVD), Sputtern, metallorganische chemische Gasphasenabscheidung (MOCVD), ALD oder dergleichen hergestellt wird. Das leitfähige Material kann aus Kupfer gebildet sein, wobei auch andere geeignete Materialien wie Aluminium, Wolfram, Legierungen, dotiertes Polysilizium, Kombinationen davon oder dergleichen verwendet werden können. Das leitfähige Material kann durch Abscheiden einer Keimschicht und anschließendes Elektroplattieren von Kupfer auf die Keimschicht gebildet werden, wobei die TSV-Öffnungen gefüllt und überfüllt werden. Nachdem die TSV-Öffnungen gefüllt sind, können überschüssige Auskleidungs-/Sperrschichten und überschüssiges leitfähiges Material außerhalb der TSV-Öffnungen durch einen Schleifprozess, wie beispielsweise chemisch-mechanisches Polieren (CMP), entfernt werden, wobei jedes geeignete Entfernungsverfahren verwendet werden kann.
  • Als nächstes wird in 20 eine Umverteilungsstruktur 12 über dem Substrat 11 gebildet. Die Umverteilungsstruktur 12 umfasst eine oder mehrere dielektrische Schichten 15 (beispielsweise Siliziumoxidschichten) und leitfähige Strukturelemente wie beispielsweise leitfähige Leitungen 17 und Durchkontaktierungen 19. Die Umverteilungsstruktur 12 kann durch ein gleiches oder ähnliches Verfahren unter Verwendung von gleichen oder ähnlichen Materialien gebildet werden wie vorstehend mit Bezug auf die Umverteilungsstruktur 120 des Photonikpackages 100 beschrieben, so dass Einzelheiten an dieser Stelle nicht wiederholt werden.
  • Als nächstes wird in 21 ein Nitrid-Wellenleiter 21 über der Umverteilungsstruktur 12 gebildet. Der Nitrid-Wellenleiter 21 wird gebildet, indem eine Siliziumnitridschicht über der Umverteilungsstruktur 12 gebildet wird und die Siliziumnitridschicht strukturiert wird. Die Einzelheiten sind gleich oder ähnlich wie bei der Bildung der Nitrid-Wellenleiter 134 des Photonikpackages 100 und werden daher an dieser Stelle nicht wiederholt. Der Nitrid-Wellenleiter 21 kann photonische Strukturen wie beispielsweise einen Randkoppler 24 aufweisen, der es ermöglicht, optische Signale und/oder optische Leistung zwischen dem Nitrid-Wellenleiter 21 und einer photonischen Komponente zu übertragen, die horizontal nahe einer Seitenwand des Interposers 50 montiert ist, wie beispielsweise eine rand-montierte optische Faser (siehe beispielsweise 217A in 25A).
  • Als Nächstes wird in 22 eine dielektrische Schicht 23 über dem Nitrid-Wellenleiter 21 und über der Umverteilungsstruktur 12 gebildet und leitfähige Pads 25 werden gebildet, die sich durch die dielektrische Schicht 23 erstrecken, um eine Verbindung mit den leitfähigen Strukturelementen der Umverteilungsstruktur 12 herzustellen. Die dielektrische Schicht 23 kann aus einem gleichen oder ähnlichen Material (beispielsweise Siliziumoxid) gebildet werden wie die dielektrische Schicht 15. In einigen Ausführungsformen ist der Brechungsindex der dielektrischen Schichten 23 und 15 kleiner als der Brechungsindex des Nitrid-Wellenleiters 21, um sicherzustellen, dass der Nitrid-Wellenleiter 21 hohe interne Reflexionen aufweist, so dass das Licht im Wesentlichen in dem Nitrid-Wellenleiter 21 eingeschlossen wird. Die leitfähigen Pads 25 können durch ein gleiches oder ähnliches Verfahren wie die leitfähigen Pads 153 des Photonikpackages 100 gebildet werden und die Einzelheiten werden daher an dieser Stelle nicht wiederholt. Leitfähige Verbinder 27, die auch als externe Verbinder bezeichnet werden, sind auf der unteren Oberfläche des Interposers 50 gebildet, um eine Verbindung mit den TSVs 13 herzustellen. Die leitfähigen Verbinder 27 können beispielsweise BGA-Verbinder (Ball Grid Array), Lötkugeln, Metallsäulen, C4-Bumps (Controlled Collapse Chip Connection), Mikro-Bumps, ENEPIG-Bumps (Electroless Nickel-Electroless Palladium-Immersion Gold Technique) oder dergleichen sein.
  • 23 zeigt eine Querschnittsansicht eines Interposers 50A mit mehreren Schichten von Wellenleitern gemäß einer Ausführungsform. Der Interposer 50A ist dem Interposer 50 von 22 ähnlich, weist allerdings mehrere Schichten von Nitrid-Wellenleitern auf, wie die Nitrid-Wellenleiter 21A, 21B und 21C, die über der Umverteilungsstruktur 12 gebildet sind. Jeder der Nitrid-Wellenleiter 21A, 21B und 21C kann eine andere Dicke haben, gemessen entlang der vertikalen Richtung in 23. Die Nitrid-Wellenleiter 21A, 21B und 21C mit unterschiedlichen Dicken können in dem hergestellten Photonikpackage unterschiedliche Funktionen erfüllen. Ferner ist mindestens einer der Nitrid-Wellenleiter, beispielsweise der Nitrid-Wellenleiter 24B, derart gebildet, dass er in verschiedenen Abschnitten verschiedene Dicken aufweist. Beispielsweise ist der mittlere Abschnitt des Nitrid-Wellenleiters 21B in 23 dicker als andere Abschnitte des Nitrid-Wellenleiters 21B. In einigen Ausführungsformen kann der gleiche Nitrid-Wellenleiter 21 (beispielsweise 21A, 21B oder 21C) an verschiedenen Abschnitten des Nitrid-Wellenleiters Dicken von beispielsweise 800 nm, 300 nm und 150 nm aufweisen.
  • 24 zeigt eine Querschnittsansicht eines Interposers 50B gemäß einer Ausführungsform aufweisend einen Wellenleiter und ein organisches Substrat. Der Interposer 50B ist dem Interposer 50 in 22 ähnlich, allerdings sind das Substrat 11 und die TSVs 13 durch eine Umverteilungsstruktur 14 ersetzt, die eine oder mehrere Schichten eines organischen Materials 31 und leitfähige Strukturelemente (beispielsweise leitfähige Leitungen 33 und Vias 35) in dem organischen Material 31 aufweist. Das Interposer 50B kann gebildet werden durch: Bilden einer Struktur ähnlich dem Interposer 50 von 22, allerdings ohne die TSVs 13 und die leitfähigen Verbinder 27, Entfernen des Substrats 11, dann Bilden der Umverteilungsstruktur 14 an der unteren Seite 12L der Umverteilungsstruktur 12.
  • Für die Bildung der Umverteilungsstruktur 14 wird eine Schicht aus dem organischen Material 31, wie beispielsweise einem Polymermaterial (beispielsweise Polyimid) oder dergleichen, auf der Unterseite 12L der Umverteilungsstruktur 12 gebildet. Anschließend werden Öffnungen in der Schicht aus dem organischen Material 31 gebildet, um die leitfähigen Strukturelemente der Umverteilungsstruktur 12 freizulegen. Eine Keimschicht wird über der Schicht aus dem organischen Material 31 und in den Öffnungen gebildet. Eine strukturierte Photoresistschicht wird dann auf der Keimschicht gebildet, wobei die Struktur (beispielsweise Öffnungen) der strukturierten Photoresistschicht den Positionen der leitfähigen Leitungen 33 und der Vias 35 entsprechen. Ein leitfähiges Material (beispielsweise Kupfer oder dergleichen) wird dann in den Strukturen der strukturierten Photoresistschicht gebildet, beispielsweise durch einen Plattierungsprozess. Die Photoresistschicht wird dann entfernt (beispielsweise durch einen Veraschungsprozess) und Teile der Keimschicht, auf denen kein leitfähiges Material gebildet ist, werden durch einen Ätzprozess entfernt. Das Verfahren kann wiederholt werden, um weitere Schichten des organischen Materials 31 und weitere Schichten mit leitfähigen Strukturelementen für die Umverteilungsstruktur 14 zu bilden.
  • Es ist zu beachten, dass aufgrund der verfügbaren Verfahren für die Abscheidung, Strukturierung und Aushärtung des organischen Dielektrikums 31 (das weicher sein kann als dielektrische Materialien wie Oxid und Nitrid und einen anderen Wärmehaushalt haben kann) die Abmessungen der leitfähigen Strukturelemente 33/35 der Umverteilungsstruktur 14 sich von denen der leitfähigen Strukturelemente 17/19 der Umverteilungsstruktur 12 unterscheiden. Beispielsweise sind die kleinsten Abmessungen der leitfähigen Strukturelemente, wie die Leitungsbreite und/oder der Leitungenabstand (beispielsweise der Abstand zwischen benachbarten leitfähigen Leitungen) der leitfähigen Leitungen 33 größer als die der leitfähigen Leitungen 17. Während die Umverteilungsstruktur 14 eine geringere Leitungsdichte als die Umverteilungsstruktur 12 aufweisen kann, bietet die Verwendung des organischen Materials 31 bestimmte Vorteile. Zu den Vorteilen der Verwendung des organischen Materials 31 zählen niedrigere Materialkosten und die einfache Abdeckung der gesamten Waferoberfläche während der Herstellung. Ein weiterer Vorteil ist die Möglichkeit, LSI-Chips (local silicon interconnect) in das organische Material 31 einzubetten, was eine größere Die-to-Die-Routing-Kapazität bietet. Beispiele für Interposer mit eingebetteten LSI-Chips werden nachstehend mit Bezug auf 31-33 beschrieben.
  • In der folgenden Diskussion wird das Photonikpackage 100 an den Interposer 50 gebondet, um Halbleiterpackage in verschiedenen Ausführungsformen zu bilden. Ein Fachmann erkennt, dass Variationen des Interposers 50, wie der Interposer 50A oder der Interposer 50B, den Interposer 50 in den verschiedenen Ausführungsformen ersetzen können, um Halbleiterpackages zu bilden. Diese und andere Variationen sind vollständig in den Geltungsbereich der vorliegenden Offenbarung aufgenommen.
  • 25A - 25D zeigen verschiedene Ansichten (beispielsweise Querschnitt, Draufsicht) eines Halbleiterpackages 500 gemäß einer Ausführungsform. Zur Bildung des Halbleiterpackages 500 wird das Photonikpackage 100 durch dielektrisches Bonden und/oder Metall-auf-Metall-Bonden (beispielsweise direktes Bonden, Fusionsbonden, Oxid-auf-Oxid-Bonden, Hybridbonden oder dergleichen) an den Interposer 50 gebondet. In solchen Ausführungsformen können kovalente Bindungen zwischen Oxidschichten, wie der dielektrischen Schicht 23 des Interposers 50 und den dielektrischen Schichten 148B des Photonikpackages 100, gebildet werden. Während des Verbindens kann auch eine Metallverbindung zwischen den leitfähigen Pads 153 des Photonikpackages 100 und den leitfähigen Pads 25 des Interposers 50 entstehen.
  • Wie in 25A dargestellt, sind neben dem Photonikpackage 100 auch die Halbleiterbauelemente 200 und 300 sowie eine Laserdiode 400 an den Interposer 50 gebondet. In einigen Ausführungsformen umfasst das Halbleiterbauelement 200 beispielsweise einen Verarbeitungs-Die, eine Zentraleinheit (CPU), eine Grafikverarbeitungseinheit (GPU), eine anwendungsspezifische integrierte Schaltung (ASIC), einen Hochleistungsrechnerchip (HPC) oder dergleichen oder eine Kombination davon. 25A zeigt das Substrat 201 des Halbleiterbauelements 200, auf dem elektrische Komponenten wie Transistoren, Widerstände, Kondensatoren, Induktoren oder dergleichen gebildet sind, und eine Interconnect-Struktur 203 des Halbleiterbauelements 200, die leitfähige Strukturelemente aufweist, welche in mehreren dielektrischen Schichten gebildet sind, um die elektrischen Komponenten miteinander zu verbinden, um Funktionsschaltungen des Halbleiterbauelements 200 zu bilden. Leitfähige Pads 207 der Halbleitervorrichtung 200 sind mit den leitfähigen Pads 25 des Interposers 50 verbunden.
  • In einigen Ausführungsformen umfasst das Halbleiterbauelement 300 beispielsweise einen Speicher-Die, ein HBM-Bauelement (High-Bandwidth Memory), einen flüchtigen Speicher wie beispielsweise DRAM (Dynamic Random Access Memory), SRAM (Static Random Access Memory), einen anderen Speichertyp oder dergleichen. 25A zeigt das Substrat 301 des Halbleiterbauelements 300, auf dem Speicherzellen und andere elektrische Komponenten gebildet sind, sowie eine Interconnect-Struktur 303, die leitfähige Strukturelemente aufweist, die in mehreren dielektrischen Schichten gebildet sind, um die elektrischen Komponenten miteinander zu verbinden und funktionale Schaltungen des Halbleiterbauelements 300 zu bilden. Die leitfähigen Pads 307 des Halbleiterbauelements 300 sind mit den leitfähigen Pads 25 des Interposers 50 verbunden.
  • 25A zeigt ferner ein Substrat 401, eine lichtemittierende Schicht 403, eine Kontaktschicht 405 (beispielsweise eine dotierte Halbleiterschicht) und eine dielektrische Schicht 406 (beispielsweise Siliziumoxid) der Laserdiode 400. Die Kontaktschicht 405 und die dielektrische Schicht 406 können für Licht in dem Wellenlängenbereich der Laserdiode 400 transparent oder nahezu transparent sein, so dass der Nitrid-Wellenleiter 21 des Interposers 50 optisch mit der lichtemittierenden Schicht 403 der Laserdiode 400 gekoppelt ist. Die leitfähigen Pads 407 der Laserdiode sind an die leitfähigen Pads 25 des Interposers 50 gebondet. In einigen Ausführungsformen erzeugt die Laserdiode 400 Lichtsignale (beispielsweise Lasersignale) gesteuert beispielsweise durch das Halbleiterbauelement 200 und sendet die Lichtsignale durch den Nitrid-Wellenleiter 21 des Interposers 50 an das Photonikpackage 100. Die Laserdiode 400 wird beispielhaft und nicht einschränkend verwendet, und es können auch andere III-V-Bauelemente in dem Photonikpackage 100 verwendet werden, wie der Fachmann erkennen wird.
  • In 25A wird ein Formmaterial 211 über dem Interposer 50 um das Photonikpackage 100, die Halbleiterbauelemente 200 und 300 und die Laserdiode 400 herum gebildet. Das Formmaterial 211 kann durch einen Aushärtungsprozess ausgehärtet werden. Nachdem das Formmaterial 211 gebildet ist, wird ein Planarisierungsprozess, wie beispielsweise CMP, durchgeführt, um eine koplanare obere Oberfläche zwischen dem Photonikpackage 100, den Halbleiterbauelementen 200 und 300 und der Laserdiode 400 zu erzeugen.
  • Mit Bezug ferner auf 25A wird das Photonikpackage 100 mit einer vertikal montierten optischen Faser 217B und einer rand-montierten optischen Faser 217A gekoppelt. In anderen Ausführungsformen sind nur vertikal montierte optische Fasern 217B oder nur rand-montierte optische Fasern 217A mit dem Photonikpackage 100 gekoppelt, oder eine andere Anzahl von vertikal montierten optischen Fasern 217B oder rand-montierten optischen Fasern 217A sind mit dem Photonikpackage 100 gekoppelt. Die optischen Fasern 217 (beispielsweise 217A und 217B) können mit einem optischen Klebstoff 215 oder dergleichen an dem Photonikpackage 100 montiert werden.
  • In einigen Ausführungsformen kann die vertikal montierte optische Faser 217B eingerichtet sein, optisch mit einem Gitterkoppler, wie beispielsweise dem Gitterkoppler 107, innerhalb des Photonikpackages 100 zu koppeln. Die vertikal montierte optische Faser 217B kann in einem Winkel in Bezug auf die vertikale Achse montiert werden oder kann seitlich von dem Gitterkoppler 107 versetzt sein. Die optischen Signale und/oder die optische Leistung, die zwischen der vertikal montierten optischen Faser 217B und dem Gitterkoppler 107 übertragen werden, werden durch die dielektrische Schicht 108, die dielektrische Schicht 115, das dielektrische Material 126, die Klebeschicht 127 und den über dem Gitterkoppler 107 gebildeten Stützkörper 128 übertragen, wie durch den Lichtweg 164 dargestellt. Optische Signale können von der optischen Faser 217B zu dem Gitterkoppler 107 und in die Wellenleiter 104 übertragen werden, wobei die optischen Signale von einer photonischen Komponente 106, die einen Photodetektor umfasst, erfasst und als elektrische Signale in den elektronischen Die 122 übertragen werden können. Optische Signale, die in den Wellenleitern 104 von einer photonischen Komponente 106 mit einem Modulator erzeugt werden, können in ähnlicher Weise von dem Gitterkoppler 107 zu der vertikal montierten optischen Faser 217B übertragen werden. Die Montage der optischen Faser 217B in vertikaler Ausrichtung kann eine verbesserte optische Kopplung, geringere Verarbeitungskosten oder eine größere Designflexibilität des Photonikpackages 100 oder des Halbleiterpackages 500 ermöglichen.
  • In einigen Ausführungsformen ist die an rand-montierte optische Faser 217A eingerichtet, optisch mit einem Randkoppler, wie dem Randkoppler 24, innerhalb des Interposers 50 zu koppeln. Der Randkoppler 24 kann nahe einem Rand oder einer Seitenwand des Interposers 50 angeordnet sein. Die rand-montierte optische Faser 217A kann in einem Winkel bezüglich der horizontalen Achse montiert oder vertikal von dem Randkoppler 24 versetzt sein. Die optischen Signale und/oder die optische Leistung, die zwischen der rand-montierten optischen Faser 217A und dem Randkoppler 24 übertragen werden, können durch eine dielektrische Schicht (beispielsweise die dielektrische Schicht 15) übertragen werden. Beispielsweise können optische Signale von der rand-montierten optischen Faser 217A zu dem Randkoppler 24 und in den Nitrid-Wellenleiter 21 übertragen werden. In einigen Ausführungsformen kann eine einzelne optische Faser 217A in mehr als einen Nitrid-Wellenleiter 21 eingekoppelt werden (siehe beispielsweise 21A, 21B und 21C in 23). Auf diese Weise kann das hierin beschriebene Photonikpackage 100 oder das Halbleiterpackage 500 in verschiedenen Konfigurationen mit den optischen Fasern 217 gekoppelt werden, was eine größere Flexibilität bei der Konstruktion ermöglicht.
  • In dem Beispiel von 25A wird ein Teil des Formmaterials 211 nahe der rand-montierten optischen Faser 217A durch ein Indexanpassungsmaterial 213 ersetzt. In einigen Ausführungsformen wird das Indexanpassungsmaterial 213 verwendet, um Lichtverluste für Licht, das von der rand-montierten optischen Faser 217A kommt oder in sie hineingeht, zu reduzieren oder zu verhindern. Beispielsweise können die dielektrischen Schichten 15/23 Oxidschichten mit einem Brechungsindex von 1,4 sein, das Formmaterial 211 kann ein SOG-Material oder ein organisches Material mit einem anderen Brechungsindex als 1,4 (beispielsweise größer als 1,4) sein. Um Lichtverluste in das Formmaterial 211 zu verhindern, wird das Indexanpassungsmaterial 213 mit einem Brechungsindex (beispielsweise 1,4) entsprechend demjenigen der dielektrischen Schichten 15/23 verwendet. In einigen Ausführungsformen beträgt die Dicke des Indexanpassungsmaterials 213, gemessen entlang der vertikalen Richtung von 25A, mindestens 6 µm. Die Dicke T des Teils der dielektrischen Schicht 15 unter dem Nitrid-Wellenleiter 21 kann beispielsweise bis zu 7 µm betragen. In einigen Ausführungsformen, bei denen der Brechungsindex des Formmaterials 211 mit dem der dielektrischen Schicht 15 übereinstimmt, entfällt das Indexanpassungsmaterial 213. Das Halbleiterpackage 500 kann über die leitfähigen Verbinder 27 des Interposers 50 an ein anderes Substrat (beispielsweise einer PCB-Platte) gebondet werden.
  • 25B zeigt eine vergrößerte Ansicht eines Teils des Halbleiterpackages 500, die einen Teil des Photonikpackages 100 und einen Teil des Interposers 50 in 25A zeigt. Wie in 25B dargestellt, ist ein optisches Through-Via 160 in dem Halbleiterpackage 500 gebildet, das die Silizium-Wellenleiter 104 und die Nitrid-Wellenleiter 134 des Photonikpackages 100 sowie den Nitrid-Wellenleiter 21 des Interposers 50 umfasst. Wenn die horizontalen Abstände zwischen benachbarten Wellenleitern (beispielsweise 104, 134, 21) klein sind, beispielsweise wenn es eine seitliche Überlappung gibt, und wenn auch die vertikalen Abstände D1, D2 und D3 zwischen benachbarten Wellenleitern (beispielsweise 104, 134, 21) klein sind, kann das Licht zwischen den benachbarten Wellenleitern (beispielsweise 104, 134, 21) optisch koppeln. Somit kann das Licht im Nitrid-Wellenleiter 21 über die Nitrid-Wellenleiter 134 entlang des Lichtwegs 162 optisch in die darüber liegenden Silizium-Wellenleiter 104 eingekoppelt werden.
  • Um das Licht effektiv einzukoppeln, weisen die benachbarten Wellenleiter (beispielsweise 104, 134 und 21) in dem optischen Through-Via 160 kleine Abstände auf, um eine effektive optische Kopplung und einen geringen Lichtverlust zu erreichen. Beispielsweise kann der vertikale Abstand D1 zwischen dem Silizium-Wellenleiter 104 und seinen benachbarten Nitrid-Wellenleitern 134 kleiner als etwa 2000 Å sein. Der vertikale Abstand D2 zwischen benachbarten Nitrid-Wellenleitern 134 kann kleiner als etwa 2 µm sein. Der vertikale Abstand D3 zwischen dem Nitrid-Wellenleiter 134C und dem Nitrid-Wellenleiter 21 kann kleiner als etwa 2 µm sein. Für eine effektive Lichtübertragung können alle Materialien in den Lichtwegen, einschließlich der dielektrischen Schichten, lichtdurchlässig sein und einen Brechungsindex aufweisen, der kleiner ist als derjenige von Siliziumnitrid. Beispielsweise können einige oder alle dieser dielektrischen Schichten aus Siliziumoxid gebildet werden oder dieses enthalten.
  • 25C und 25D zeigen Draufsichten von Teilen des Halbleiterpackages 500. 25C zeigt insbesondere die Seitenwände der Laserdiode 400, die lichtemittierende Schicht 403 der Laserdiode 400 und den Nitrid-Wellenleiter 21 des Interposers 50. 25D zeigt die Seitenwände des Photonikpackages 100, die leitfähigen Pads 153 des Photonikpackages 100, den untersten Nitrid-Wellenleiter 134C des Photonikpackages 100 und den Nitrid-Wellenleiter 21 des Interposers 50. Der Einfachheit halber sind in 25C und 25D nicht alle Merkmale dargestellt. Wie in 25C dargestellt, überlappt die lichtemittierende Schicht 403 der Laserdiode 400 mit mindestens einem Teil des darunter liegenden Nitrid-Wellenleiters 21. In ähnlicher Weise zeigt 25D, dass der unterste Nitrid-Wellenleiter 134C des Photonikpackages 100 mit dem Nitrid-Wellenleiter 21 des Interposers 50 überlappt.
  • 26 zeigt eine Querschnittsansicht eines Halbleiterpackages 500A gemäß einer Ausführungsform. Das Halbleiterpackage 500A ist dem Halbleiterpackage 500 von 25A ähnlich, wobei allerdings mehrere Photonikpackages 100 an den Interposer 50 gebondet sind. Jedes Photonikpackage 100 weist jeweils ein Halbleiterbauelement 200 (beispielsweise eine CPU oder einen Controller), ein Halbleiterbauelement 300 (beispielsweise ein Speicherbauelement) und eine Laserdiode 400 auf, die an dem Interposer 50 angebracht sind. Das in 26 dargestellte Halbleiterbauelement 300 ist ein Speicherbauelement. 26 zeigt Speicherzellen 315, die in/auf dem Substrat des Halbleiterbauelements 300 gebildet sind, und die Interconnect-Struktur 303 des Halbleiterbauelements 300. Der Einfachheit halber sind die Laserdioden 400 in 26 mit weniger Details dargestellt als in 25A.
  • In dem Beispiel von 26 sind die Photonikpackages 100 und die Laserdioden 400 in dem Halbleiterpackage 500A optisch mit dem Nitrid-Wellenleiter 21 des Interposers 50 gekoppelt, so dass optische Signale zwischen den Photonikpackages 100, zwischen einem Photonikpackage 100 und einer Laserdiode 400 und zwischen dem Halbleiterpackage 500A und einem externen Gerät (nicht gezeigt) über die optischen Fasern 217 (beispielsweise 217A oder 217B) übertragen werden können. Daher dient der Nitrid-Wellenleiter 21 als ein Datenbus, der optisch mit allen optischen Komponenten (beispielsweise 100, 400) des Halbleiterpackages 500A gekoppelt ist, um die optische Kommunikation zwischen den optischen Komponenten des Halbleiterpackages 500A zu erleichtern.
  • 27 zeigt eine Querschnittsansicht eines Halbleiterpackages 500B gemäß einer anderen Ausführungsform. Das Halbleiterpackage 500B ist dem Halbleiterpackage 500 in 25A ähnlich, wobei allerdings der Interposer 50 durch den Interposer 50B aus 24 ersetzt ist.
  • 28 zeigt eine Querschnittsansicht eines Halbleiterpackages 500C gemäß einer anderen Ausführungsform. Das Halbleiterpackage 500C ist dem Halbleiterpackage 500 von 25A ähnlich, wobei allerdings das Halbleiterbauelement 300 durch ein Halbleiterbauelement 300A ersetzt ist. Das Halbleiterbauelement 300A ist ein Speicherbauelement, die Speicherzellen 315, einen ersten elektronischen Die 311 (beispielsweise eine CPU) und einen zweiten elektronischen Die 313 (beispielsweise einen Speichercontroller) über den Speicherzellen 315 und einen photonischen Die 317 unter den Speicherzellen 315 enthält. Der photonische Die 317 ist dem photonischen Die 151 des Photonikpackages 100 ähnlich. Der photonische Die 317 umfasst beispielsweise eine Umverteilungsstruktur, einen Silizium-Wellenleiter 304, eine photonische Komponente 306 (beispielsweise einen Photodetektor oder Modulator) und Nitrid-Wellenleiter 334A und 334B. Der unterste Nitrid-Wellenleiter 334B ist optisch mit dem Nitrid-Wellenleiter 21 des Interposers 50 gekoppelt. Die Nitrid-Wellenleiter 21, 334A, 334B und der Silizium-Wellenleiter 304 bilden ein optisches Through-Via, das den Nitrid-Wellenleiter 21 und den Silizium-Wellenleiter 304 optisch koppelt.
  • 29 zeigt eine Querschnittsansicht eines Halbleiterpackages 500D gemäß einer anderen Ausführungsform. In 29 sind das Photonikpackage 100 und eine Laserdiode 400 an den Interposer 50 gebondet, um eine Halbleiterstruktur zu bilden, die wiederum über leitfähige Verbinder 27 des Interposers 50 an einen Interposer 60 gebondet ist. Das Interposer 60 ist dem Interposer 50 ähnlich, allerdings ohne den Nitrid-Wellenleiter 21. Das Interposer 60 umfasst beispielsweise ein Substrat 61, TSVs 63 und eine Umverteilungsstruktur 65 über dem Substrat 61. In 29 sind ein Halbleiterbauelement 200 (beispielsweise ein Prozessor) und ein Halbleiterbauelement 300 (beispielsweise ein Speicherbauelement) dargestellt, die an den Interposer 60 gebondet sind. Ein Unterfüllungsmaterial 404 wird zwischen dem Interposer 50 und dem Interposer 60 sowie zwischen den Halbleiterbauelementen 200/300 und dem Interposer 60 gebildet. Ein Formmaterial 402 wird über dem Interposer 60 um die Halbleiterbauelemente 200/300 und um die Halbleiterstruktur mit dem Interposer 50, der Laserdiode 400 und dem Photonikpackage 100 gebildet.
  • 30 zeigt eine Querschnittsansicht eines Halbleiterpackages 500E gemäß einer weiteren Ausführungsform. Das Halbleiterpackage 500E ist dem Halbleiterpackage 500 von 25A ähnlich, wobei der Interposer 50 allerdings mehrere separate Nitrid-Wellenleiter aufweist. In dem Beispiel von 30 sind zwei separate Nitrid-Wellenleiter 21A und 21B auf der oberen Oberfläche der Umverteilungsstruktur 12 dargestellt. In einigen Ausführungsformen ist der seitliche Abstand zwischen den Nitrid-Wellenleitern 21A und 21B zu groß für eine direkte optische Kopplung. Es ist zu beachten, dass der Nitrid-Wellenleiter 134C des Photonikpackages 100 nahe den beiden Nitrid-Wellenleitern 21A und 21B liegt und sich seitlich mit beiden Nitrid-Wellenleitern 21A und 21B überlappt. Somit ist der Nitrid-Wellenleiter 134C optisch mit den beiden Nitrid-Wellenleitern 21A und 21B gekoppelt. Ein Lichtsignal in dem Nitrid-Wellenleiter 21B kann indirekt an den Nitrid-Wellenleiter 21A gekoppelt werden, indem es zunächst nach oben zu dem Nitrid-Wellenleiter 134C und dann von dem Nitrid-Wellenleiter 134C nach unten zu dem Nitrid-Wellenleiter 21A gelangt, wie durch den Lichtweg 167 dargestellt. Somit veranschaulicht 30, dass sich der Nitrid-Wellenleiter 21 des Interposers 50 nicht durchgehend über die gesamte Länge (oder Breite) des Interposers 50 erstrecken muss, sondern mehrere separate Segmente aufweisen kann.
  • 31 zeigt eine Querschnittsansicht eines optischen lokalen Silizium-Interconnects (OLSI) 610 gemäß einer Ausführungsform. Das OLSI 610 umfasst ein Substrat 619, das gleich oder ähnlich dem Substrat 102C in 1 sein kann. Das Substrat 619 kann beispielsweise aus Glas, Keramik, Dielektrikum, einem Halbleitermaterial (beispielsweise Si) oder einer Kombination davon gebildet sein. Eine dielektrische Schicht 611 (beispielsweise eine Siliziumoxidschicht) ist über dem Substrat 619 gebildet und ein Wellenleiter 613 (beispielsweise ein Silizium-Wellenleiter) ist über der dielektrischen Schicht 611 gebildet. Zusätzliche optische Komponenten, wie Photodetektoren, Modulatoren, Gitterkoppler und dergleichen, können auch in der gleichen Schicht mit dem Wellenleiter 613 gebildet werden. Eine oder mehrere dielektrische Schichten 615 (beispielsweise Siliziumoxidschichten) werden über dem Wellenleiter 613 gebildet. In der einen oder den mehreren dielektrischen Schichten 615 werden leitfähige Strukturelemente 617, einschließlich leitfähiger Leitungen und Vias, gebildet, um eine Umverteilungsstruktur 614 mit einem Wellenleiter 613 zu bilden. In einigen Ausführungsformen wird das OLSI 610 durch das gleiche Verfahren gebildet, mit dem die Interconnect-Struktur eines Halbleiterchips in einem Back-End-of-Line-Verfahren (BEOL) gebildet wird, und daher ist die kritische Abmessung (beispielsweise die Leitungsbreite oder der Leitungenabstand) des OLSI 610 gleich denjenigen der Interconnect-Struktur, um ein hochdichtes Routing zu ermöglichen.
  • 32 zeigt eine Querschnittsansicht eines lokalen Silizium-Interconnects (LSI) 620 gemäß einer Ausführungsform. Das LSI 620 ist dem OLSI 610 in 31 ähnlich, allerdings ohne dass der Wellenleiter 613 gebildet ist. Das LSI 620 umfasst ein Substrat 629 (beispielsweise Si), eine dielektrische Schicht 621 (beispielsweise Siliziumoxid) und eine Umverteilungsstruktur 624, die eine oder mehrere dielektrische Schichten 625 (beispielsweise Siliziumoxid) und leitfähige Strukturelemente 627 umfasst. Die Details sind gleich oder ähnlich wie vorstehend mit Bezug auf das OLSI 610 beschrieben und werden daher an dieser Stelle nicht wiederholt.
  • 33 zeigt eine Querschnittsansicht eines Halbleiterpackages 600 gemäß einer Ausführungsform. Das Halbleiterpackage 600 umfasst das Photonikpackage 100, das Halbleiterbauelement 200 (beispielsweise einen Prozessor), das Halbleiterbauelement 300 (beispielsweise ein Speicherbauelement) und die Laserdiode 400, die an einen Interposer 70 gebondet sind. Der Interposer 70 umfasst ein Substrat 71 mit TSVs 73, die sich durch das Substrat 71 erstrecken. Das Substrat 71 ist das gleiche oder ein ähnliches wie das Substrat 11 in 25A, so dass Einzelheiten an dieser Stelle nicht wiederholt werden. Eine oder mehrere Schichten eines organischen Materials 75 (beispielsweise ein Polymermaterial wie Polyimid) werden über dem Substrat 71 gebildet und leitfähige Strukturelemente 79 (beispielsweise leitfähige Leitungen und Vias) werden in der einen oder den mehreren Schichten des organischen Materials 75 gebildet, um eine Umverteilungsstruktur 81 zu bilden. Insbesondere werden zwei LSIs 620 und ein OLSI 610, die vorgebildet sind, in das organische Material 75 an der oberen Oberfläche der Umverteilungsstruktur 81 eingebettet (beispielsweise eingekapselt). Das OLSI 610 wird unter der Laserdiode 400 und dem Photonikpackage 100 angeordnet. Die Laserdiode 400 und das Photonikpackage 100 werden beide optisch mit dem Wellenleiter 613 des OLSI 610 gekoppelt, um eine optische Kommunikation zu ermöglichen. Ferner sind die Laserdiode 400 und das Photonikpackage 100 über leitfähige Verbinder 635 elektrisch mit der Umverteilungsstruktur 614 des OLSI 610 gekoppelt.
  • Wie in 33 gezeigt, ist eines der LSIs 620 unter dem Photonikpackage 100 und der Halbleitervorrichtung 200 angeordnet und die Umverteilungsstruktur 624 der LSI 620 ist über die leitfähigen Verbinder 635 elektrisch mit dem Photonikpackage 100 und dem Halbleiterbauelement 200 verbunden. Ein weiteres LSI 620 ist unter dem Halbleiterbauelement 200 und dem Halbleiterbauelement 300 angeordnet, und die Umverteilungsstruktur 624 des weiteren LSI 620 ist über die leitfähigen Verbinder 635 mit den Halbleiterbauelementen 200 und 300 elektrisch gekoppelt. Die LSIs 620 und das OLSI 610 haben kleinere Strukturgrößen (beispielsweise Leitungsbreiten, Leitungenabstände) als die leitfähigen Strukturelemente 79 (weil Prozesse des organischen Materials 75 größere kritische Abmessungen haben) der Umverteilungsstruktur 81, wodurch ein Routing mit höherer Dichte als bei der Umverteilungsstruktur 81 möglich ist.
  • Wie in 33 dargestellt, wird ein Unterfüllungsmaterial 631 gebildet, um die Lücke zwischen dem Interposer 70 und den Halbleiterbauelementen 200/300, der Laserdiode 400 und dem Photonikpackage 100 zu füllen. Ein Formmaterial 633 wird über dem Interposer 70 um die Halbleiterbauelemente 200/300, die Laserdiode 400 und das Photonikpackage 100 herum gebildet. In einigen Ausführungsformen wird das Indexanpassungsmaterial 213 auf dem Interposer 70 zwischen der Laserdiode 400 und dem Photonikpackage 100, zwischen dem Interposer 70 und der Laserdiode 400 und zwischen dem Interposer 70 und dem Photonikpackage 100 gebildet. Das Halbleiterpackage 600 kann über die leitfähigen Verbinder 27 des Interposers 70 an ein anderes Substrat (beispielsweise einer PCB-Platte) gebondet werden.
  • Die Ausführungsformen können Vorteile erzielen. Beispielsweise unterstützt der Interposer (beispielsweise 50, 50A, 50B) aufweisend den Nitrid-Wellenleiter 21 das Routing sowohl elektrischer als auch optischer Signale und ermöglicht die einfache Integration verschiedener Arten von Bauelementen in das Halbleiterpackage. Ohne den Nitrid-Wellenleiter 21 auf dem Interposer müsste das Photonikpackage 100 mit den Halbleiterbauelementen 200/300 ausschließlich über elektrische Signale kommunizieren. Mit steigender Datenrate und zunehmender Routing-Dichte werden die elektrischen Signale, die zwischen dem Photonikpackage 100 und den Halbleiterbauelementen 200/300 übertragen werden, durch die leitfähigen Verbinder und Kupferleitungen verschlechtert. In den hierin vorgeschlagenen Ausführungsformen ermöglicht der Interposer mit eingebautem integriertem Wellenleiter eine optische Hochgeschwindigkeits-Signalübertragung mit erhöhter Leistung und Performance. Die hierin offenbarten Interposer ermöglichen die Verwendung hocheffizienter Randkoppler in optischen Systemen und ermöglichen die heterogene Integration von III-V-Bauelementen oder Bauelementen aus anderen Materialsystemen. Mit der Präzision des Die-to-Wafer-Bondens kann die Integrationsstruktur einen sehr geringen Kopplungsverlust für die heterogene Integration von III-V-Bauelementen in Silizium-Photonic-Dies schaffen. Ferner senkt die Verwendung von organischem Material in dem Interposer nicht nur die Kosten, sondern ermöglicht auch die Integration von LSI und/oder OLSI für ein hochdichtes Hochgeschwindigkeits-Routing zwischen Bauelementen, die an den Interposer gebondet sind.
  • 34 zeigt ein Flussdiagramm eines Verfahrens 1000 zur Herstellung eines Halbleiterpackages gemäß einigen Ausführungsformen. Es ist zu beachten, dass das in 34 gezeigte Ausführungsbeispiel lediglich ein Beispiel für viele mögliche Ausführungsverfahren ist. Der Fachmann erkennt daran viele Variationen, Alternativen und Modifikationen. Beispielsweise können verschiedene Schritte, wie sie in 34 dargestellt sind, hinzugefügt, entfernt, ersetzt, neu angeordnet oder wiederholt werden.
  • Mit Bezug auf 34 wird in Block 1010 ein Photonikpackage an einer ersten Seite eines Interposers angebracht, wobei der Interposer ein erstes Substrat, eine erste Umverteilungsstruktur über einer ersten Seite des ersten Substrats und einen ersten Wellenleiter über der ersten Umverteilungsstruktur und nahe der ersten Seite des Interposers umfasst, wobei das Photonikpackage einen elektronischen Die und einen photonischen Die umfasst, der mehrere dielektrische Schichten und einen zweiten Wellenleiter in den mehreren dielektrischen Schichten umfasst, wobei eine erste Seite des photonischen Dies an dem elektronischen Die angebracht ist und eine gegenüberliegende zweite Seite des photonischen Dies an der ersten Seite des Interposers angebracht ist, wobei der zweite Wellenleiter nahe der zweiten Seite des photonischen Dies liegt und optisch mit dem ersten Wellenleiter gekoppelt ist. In Block 1020 wird eine Laserdiode an der ersten Seite des Interposers angebracht, wobei die Laserdiode optisch mit dem ersten Wellenleiter gekoppelt ist. In Block 1030 wird ein Formmaterial auf der ersten Seite des Interposers um die Laserdiode und das Photonikpackage herum gebildet.
  • Gemäß einer Ausführungsform weist ein Halbleiterpackage einen ersten Interposer auf, der Folgendes aufweist: ein erstes Substrat; eine erste Umverteilungsstruktur über einer ersten Seite des ersten Substrats; und einen ersten Wellenleiter über der ersten Umverteilungsstruktur und nahe einer ersten Seite des ersten Interposers, wobei die erste Umverteilungsstruktur zwischen dem ersten Substrat und dem ersten Wellenleiter liegt. Das Halbleiterpackage umfasst ferner ein Photonikpackage, das an der ersten Seite des ersten Interposers angebracht ist, wobei das Photonikpackage Folgendes umfasst: einen elektronischen Die; und einen photonischen Die, der mehrere dielektrische Schichten und einen zweiten Wellenleiter in einer der mehreren dielektrischen Schichten umfasst, wobei eine erste Seite des photonischen Dies an dem elektronischen Die angebracht ist und eine gegenüberliegende zweite Seite des photonischen Dies an der ersten Seite des ersten Interposers angebracht ist, wobei der zweite Wellenleiter nahe der zweiten Seite des photonischen Dies liegt. In einer Ausführungsform ist der erste Wellenleiter des ersten Interposers optisch mit dem zweiten Wellenleiter des photonischen Dies gekoppelt. In einer Ausführungsform umfasst der erste Interposer ferner eine dielektrische Schicht über dem ersten Wellenleiter, wobei der erste Wellenleiter zwischen der dielektrischen Schicht und der ersten Umverteilungsstruktur liegt, wobei ein Brechungsindex der dielektrischen Schicht niedriger ist als der des ersten Wellenleiters. In einer Ausführungsform weist der photonische Die ferner Folgendes auf: eine zweite Umverteilungsstruktur zwischen den mehreren dielektrischen Schichten und dem elektronischen Die, wobei die zweite Umverteilungsstruktur elektrisch mit dem elektronischen Die gekoppelt ist; einen dritten Wellenleiter in einer obersten dielektrischen Schicht der mehreren dielektrischen Schichten, die der zweiten Umverteilungsstruktur am nächsten liegt, wobei der dritte Wellenleiter optisch mit dem zweiten Wellenleiter gekoppelt ist; ein photonisches Bauelement in der obersten dielektrischen Schicht, das optisch mit dem dritten Wellenleiter gekoppelt ist, wobei das photonische Bauelement elektrisch mit der zweiten Umverteilungsstruktur gekoppelt ist; und leitfähige Vias in den mehreren dielektrischen Schichten, die elektrisch mit der zweiten Umverteilungsstruktur gekoppelt sind. In einer Ausführungsform sind der erste Wellenleiter und der zweite Wellenleiter Nitrid-Wellenleiter und der dritte Wellenleiter ist ein Silizium-Wellenleiter. In einer Ausführungsform weist der photonische Die ferner einen vierten Wellenleiter in den mehreren dielektrischer Schichten auf, der zwischen dem zweiten Wellenleiter und dem dritten Wellenleiter angeordnet ist, wobei der dritte Wellenleiter über den vierten Wellenleiter mit dem zweiten Wellenleiter optisch gekoppelt ist. In einer Ausführungsform weist das Photonikpackage ferner auf: ein Trägersubstrat über dem elektronischen Die, wobei der elektronische Die zwischen dem Trägersubstrat und dem photonischen Die liegt; und eine Mikrolinse in dem Trägersubstrat, wobei das Halbleiterpackage ferner eine optische Faser aufweist, die über der Mikrolinse an dem Trägersubstrat angebracht ist. In einer Ausführungsform umfasst das Halbleiterpackage ferner eine Laserdiode, die an der ersten Seite des ersten Interposers angebracht ist, wobei die Laserdiode mit dem ersten Wellenleiter des ersten Interposers optisch gekoppelt ist. In einer Ausführungsform umfasst das Halbleiterpackage ferner: einen zweiten Interposer, wobei eine erste Seite des zweiten Interposers an einer zweiten Seite des ersten Interposers angebracht ist, die der ersten Seite des ersten Interposers gegenüberliegt; ein Speicherbauelement, das an der ersten Seite des zweiten Interposers angebracht ist; und einen zweiten elektronischen Die, der an der ersten Seite des zweiten Interposers angebracht ist. In einer Ausführungsform umfasst das Halbleiterpackage ferner: ein Speicherbauelement, das an der ersten Seite des ersten Interposers angebracht ist, wobei das Speicherbauelement elektrisch mit der ersten Umverteilungsstruktur des ersten Interposers gekoppelt ist; und einen zweiten elektronischen Die, der an der ersten Seite des ersten Interposers angebracht ist, wobei der zweite elektronische Die elektrisch mit der ersten Umverteilungsstruktur des ersten Interposers gekoppelt ist. In einer Ausführungsform weist das Speicherbauelement einen dritten Wellenleiter nahe einer ersten Seite des Speicherbauelements auf, die dem ersten Interposer zugewandt ist, wobei der dritte Wellenleiter mit dem ersten Wellenleiter optisch gekoppelt ist. In einer Ausführungsform umfasst das Halbleiterpackage ferner eine optische Faser, die an einer Seitenwand des ersten Interposers angebracht ist, wobei die optische Faser optisch mit dem ersten Wellenleiter des ersten Interposers gekoppelt ist. In einer Ausführungsform umfasst das Photonikpackage ferner einen zweiten photonischen Die zwischen dem elektronischen Die und dem photonischen Die, wobei der photonische Die durch den zweiten photonischen Die an dem elektronischen Die angebracht ist.
  • Gemäß einer Ausführungsform wiest ein Halbleiterpackage einen Interposer auf, der Folgendes aufweist: ein Substrat; eine erste Umverteilungsstruktur über einer ersten Seite des Substrats; einen ersten Wellenleiter über der ersten Umverteilungsstruktur; und eine dielektrische Schicht über dem ersten Wellenleiter. Das Halbleiterpackage weist ferner ein Photonikpackage auf, das an einer ersten Seite des Interposers angebracht ist, wobei das Photonikpackage aufweist: einen elektronischen Die; und einen photonischen Die, wobei eine erste Seite des photonischen Dies an der dielektrischen Schicht des Interposers angebracht ist, und eine zweite Seite des photonischen Dies an dem elektronischen Die angebracht ist, wobei der photonische Die umfasst: eine zweite Umverteilungsstruktur, die an dem elektronischen Die angebracht ist; mehrere dielektrische Schichten zwischen der zweiten Umverteilungsstruktur und dem Interposer; einen zweiten Wellenleiter in den mehreren dielektrischen Schichten nahe dem Interposer, wobei der zweite Wellenleiter optisch mit dem ersten Wellenleiter gekoppelt ist; und Vias in den mehreren dielektrischen Schichten, wobei die Vias die zweite Umverteilungsstruktur mit der ersten Umverteilungsstruktur elektrisch koppeln. In einer Ausführungsform weist das Halbleiterpackage ferner eine optische Faser auf, die an einer Seitenwand des Interposers angebracht ist, wobei die optische Faser optisch mit dem ersten Wellenleiter des Interposers gekoppelt ist. In einer Ausführungsform umfasst das Halbleiterpackage ferner eine Laserdiode, die an der ersten Seite des Interposers angebracht ist, wobei die Laserdiode optisch mit dem ersten Wellenleiter des Interposers gekoppelt ist. In einer Ausführungsform umfasst das Substrat des Interposers ein organisches Material. In einer Ausführungsform umfasst das Photonikpackage ferner einen dritten Wellenleiter in den mehreren dielektrischer Schichten nahe dem elektronischen Die, wobei der dritte Wellenleiter optisch mit dem zweiten Wellenleiter gekoppelt ist.
  • Gemäß einer Ausführungsform umfasst ein Verfahren zur Herstellung eines Halbleiterpackages: Anbringen eines Photonikpackages an einer ersten Seite eines Interposers, wobei der Interposer ein erstes Substrat, eine erste Umverteilungsstruktur über einer ersten Seite des ersten Substrats und einen ersten Wellenleiter über der ersten Umverteilungsstruktur und nahe der ersten Seite des Interposers umfasst, wobei das Photonikpackage einen elektronischen Die und einen photonischen Die aufweist, der mehrere dielektrische Schichten und einen zweiten Wellenleiter in den mehreren dielektrischen Schichten umfasst, wobei eine erste Seite des photonischen Dies an dem elektronischen Die angebracht ist und eine gegenüberliegende zweite Seite des photonischen Dies an der ersten Seite des Interposers angebracht ist, wobei der zweite Wellenleiter nahe der zweiten Seite des photonischen Dies liegt und optisch mit dem ersten Wellenleiter gekoppelt ist; Anbringen einer Laserdiode an der ersten Seite des Interposers, wobei die Laserdiode optisch mit dem ersten Wellenleiter gekoppelt ist; und Bilden eines Formmaterials über der ersten Seite des Interposers um die Laserdiode und das Photonikpackage herum. In einer Ausführungsform umfasst das Verfahren ferner: vor dem Bilden des Formmaterials, Anbringen eines Speicherbauelements an der ersten Seite des Interposers; und Anbringen eines zweiten elektronischen Dies an der ersten Seite des Interposers.
  • Vorstehenden sind die Merkmale mehrerer Ausführungsformen umrissen, damit der Fachmann die Aspekte der vorliegenden Offenbarung besser verstehen kann. Der Fachmann sollte erkennen, dass die vorliegende Offenbarung ohne weiteres als Grundlage für die Entwicklung oder Modifizierung anderer Verfahren und Strukturen verwendet werden kann, um dieselben Zwecke zu erfüllen und/oder dieselben Vorteile der hier vorgestellten Ausführungsformen zu erzielen. Der Fachmann sollte ferner erkennen, dass solche äquivalenten Konstruktionen nicht vom Geist und Umfang der vorliegenden Offenbarung abweichen und dass verschiedene Änderungen, Ersetzungen und Modifikationen hierin vorgenommen werden kann, ohne vom Geist und Umfang der vorliegenden Offenbarung abzuweichen.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • US 63264397 [0001]
    • US 63266114 [0001]

Claims (20)

  1. Halbleiterpackage aufweisend: einen erstes Interposer aufweisend: - ein erstes Substrat; - eine erste Umverteilungsstruktur über einer ersten Seite des ersten Substrats; und - einen ersten Wellenleiter über der ersten Umverteilungsstruktur und nahe einer ersten Seite des ersten Interposers, wobei die erste Umverteilungsstruktur zwischen dem ersten Substrat und dem ersten Wellenleiter liegt; und ein Photonikpackage, das an der ersten Seite des ersten Interposers angebracht ist, wobei das Photonikpackage aufweist: - einen elektronischen Die; und - einen photonischen Die, der mehrere dielektrische Schichten und einen zweiten Wellenleiter in einer der mehreren dielektrischen Schichten aufweist, wobei eine erste Seite des photonischen Dies an dem elektronischen Die angebracht ist und eine gegenüberliegende zweite Seite des photonischen Dies an der ersten Seite des ersten Interposers angebracht ist, wobei der zweite Wellenleiter nahe der zweiten Seite des photonischen Dies liegt.
  2. Halbleiterpackage nach Anspruch 1, wobei der erste Wellenleiter des ersten Interposers optisch mit dem zweiten Wellenleiter des photonischen Dies gekoppelt ist.
  3. Halbleiterpackage nach Anspruch 1 oder 2, wobei der erste Interposer ferner eine dielektrische Schicht über dem ersten Wellenleiter aufweist, wobei der erste Wellenleiter zwischen der dielektrischen Schicht und der ersten Umverteilungsstruktur liegt, wobei ein Brechungsindex der dielektrischen Schicht niedriger ist als der des ersten Wellenleiters.
  4. Halbleiterpackage nach einem der vorangegangenen Ansprüche, wobei der photonische Die ferner aufweist: eine zweite Umverteilungsstruktur zwischen den mehreren dielektrischen Schichten und dem elektronischen Die, wobei die zweite Umverteilungsstruktur elektrisch mit dem elektronischen Die gekoppelt ist; einen dritten Wellenleiter in einer obersten dielektrischen Schicht der mehreren dielektrischen Schichten, die der zweiten Umverteilungsstruktur am nächsten ist, wobei der dritte Wellenleiter optisch mit dem zweiten Wellenleiter gekoppelt ist; ein photonisches Bauelement in der obersten dielektrischen Schicht, die optisch mit dem dritten Wellenleiter gekoppelt ist, wobei das photonische Bauelement elektrisch mit der zweiten Umverteilungsstruktur gekoppelt ist; und leitfähige Vias in den mehreren dielektrischen Schichten, die elektrisch mit der zweiten Umverteilungsstruktur verbunden sind.
  5. Halbleiterpackage nach Anspruch 4, wobei der erste Wellenleiter und der zweite Wellenleiter Nitrid-Wellenleiter sind und der dritte Wellenleiter ein Silizium-Wellenleiter ist.
  6. Halbleiterpackage nach Anspruch 4 oder 5, wobei der photonische Die ferner einen vierten Wellenleiter in den mehreren dielektrischen Schichten und zwischen dem zweiten Wellenleiter und dem dritten Wellenleiter angeordnet aufweist, wobei der dritte Wellenleiter durch den vierten Wellenleiter mit dem zweiten Wellenleiter optisch gekoppelt ist.
  7. Halbleiterpackage nach einem der vorhergehenden Ansprüche, wobei das Photonikpackage ferner aufweist: ein Trägersubstrat über dem elektronischen Die, wobei der elektronische Die zwischen dem Trägersubstrat und dem photonischen Die liegt; und eine Mikrolinse in dem Trägersubstrat, wobei das Halbleiterpackage ferner eine optische Faser aufweist, die über der Mikrolinse an dem Trägersubstrat angebracht ist.
  8. Halbleiterpackage nach einem der vorhergehenden Ansprüche, ferner aufweisend: eine Laserdiode, die an der ersten Seite des ersten Interposers angebracht ist, wobei die Laserdiode optisch mit dem ersten Wellenleiter des ersten Interposers gekoppelt ist.
  9. Halbleiterpackage nach Anspruch 8, ferner aufweisend: einen zweiten Interposer, wobei eine erste Seite des zweiten Interposers an einer zweiten Seite des ersten Interposers angebracht ist, die der ersten Seite des ersten Interposers gegenüberliegt; ein Speicherbauelement, das an der ersten Seite des zweiten Interposers angebracht ist; und einen zweiten elektronischen Die, der an der ersten Seite des zweiten Interposers angebracht ist.
  10. Halbleiterpackage nach einem der vorangehenden Ansprüche, ferner aufweisend: ein Speicherbauelement, das an der ersten Seite des ersten Interposers angebracht ist, wobei das Speicherbauelement elektrisch mit der ersten Umverteilungsstruktur des ersten Interposers gekoppelt ist; und einen zweiten elektronischen Die, der an der ersten Seite des ersten Interposers angebracht ist, wobei der zweite elektronische Die elektrisch mit der ersten Umverteilungsstruktur des ersten Interposers gekoppelt ist.
  11. Halbleiterpackage nach Anspruch 10, wobei das Speicherbauelement einen dritten Wellenleiter nahe einer ersten Seite des Speicherbauelements aufweist, die dem ersten Interposer gegenüberliegt, wobei der dritte Wellenleiter optisch mit dem ersten Wellenleiter gekoppelt ist.
  12. Halbleiterpackage nach einem der vorangegangenen Ansprüche, ferner aufweisend: eine optische Faser, die an einer Seitenwand des ersten Interposers angebracht ist, wobei die optische Faser optisch mit dem ersten Wellenleiter des ersten Interposers gekoppelt ist.
  13. Halbleiterpackage nach einem der vorhergehenden Ansprüche, wobei das Photonikpackage ferner einen zweiten photonischen Die zwischen dem elektronischen Die und dem photonischen Die umfasst, wobei der photonische Die durch den zweiten photonischen Die an dem elektronischen Die angebracht ist.
  14. Halbleiterpackage aufweisend: einen Interposer aufweisend: - ein Substrat; - eine erste Umverteilungsstruktur über einer ersten Seite des Substrats; - einen ersten Wellenleiter über der ersten Umverteilungsstruktur; und - eine dielektrische Schicht über dem ersten Wellenleiter; und ein Photonikpackage, das an einer ersten Seite des Interposers angebracht ist, wobei das Photonikpackage aufweist: - einen elektronischen Die; und - einen photonischen Die, wobei eine erste Seite des photonischen Dies an der dielektrischen Schicht des Interposers angebracht ist, und eine zweite Seite des photonischen Dies an dem elektronischen Die angebracht ist, wobei der photonische Die aufweist: - eine zweite Umverteilungsstruktur, die an dem elektronischen Chip angebracht ist; - mehrere dielektrische Schichten zwischen der zweiten Umverteilungsstruktur und dem Interposer; - einen zweiten Wellenleiter in den mehreren dielektrischen Schichten nahe dem Interposer, wobei der zweite Wellenleiter optisch mit dem ersten Wellenleiter gekoppelt ist; und - Vias in den mehreren dielektrischen Schichten, wobei die Vias die zweite Umverteilungsstruktur elektrisch mit der ersten Umverteilungsstruktur verbinden.
  15. Halbleiterpackage nach Anspruch 14, ferner aufweisend: eine optische Faser, die an einer Seitenwand des Interposers angebracht ist, wobei die optische Faser optisch mit dem ersten Wellenleiter des Interposers gekoppelt ist.
  16. Halbleiterpackage nach Anspruch 14 oder 15, ferner aufweisend: eine Laserdiode, die an der ersten Seite des Interposers angebracht ist, wobei die Laserdiode optisch mit dem ersten Wellenleiter des Interposers gekoppelt ist.
  17. Halbleiterpackage nach einem der Ansprüche 14 bis 16, wobei das Substrat des Interposers ein organisches Material enthält.
  18. Halbleiterpackage nach einem der Ansprüche 14 bis 17, wobei das Photonikpackage ferner einen dritten Wellenleiter in den mehreren dielektrischen Schichten nahe dem elektronischen Die aufweist, wobei der dritte Wellenleiter optisch mit dem zweiten Wellenleiter gekoppelt ist.
  19. Verfahren zur Herstellung eines Halbleiterpackages, das Verfahren umfassend: Anbringen eines Photonikpackages an einer ersten Seite eines Interposers, wobei der Interposer ein erstes Substrat, eine erste Umverteilungsstruktur über einer ersten Seite des ersten Substrats und einen ersten Wellenleiter über der ersten Umverteilungsstruktur und nahe der ersten Seite des Interposers aufweist, wobei das Photonikpackage einen elektronischen Chip und einen photonischen Die aufweist, der mehrere dielektrische Schichten und einen zweiten Wellenleiter in den mehreren dielektrischen Schichten aufweist, wobei eine erste Seite des photonischen Dies an dem elektronischen Die angebracht ist und eine gegenüberliegende zweite Seite des photonischen Dies an der ersten Seite des Interposers angebracht ist, wobei der zweite Wellenleiter nahe der zweiten Seite des photonischen Dies liegt und optisch mit dem ersten Wellenleiter gekoppelt ist; Anbringen einer Laserdiode an der ersten Seite des Interposers, wobei die Laserdiode optisch mit dem ersten Wellenleiter gekoppelt ist; und Bilden eines Formmaterials über der ersten Seite des Interposers um die Laserdiode und das Photonikpackage herum.
  20. Verfahren nach Anspruch 19, ferner umfassend, vor dem Bilden des Formmaterials: Anbringen eines Speicherbauelements an der ersten Seite des Interposers; und Anbringen eines zweiten elektronischen Dies an der ersten Seite des Interposers.
DE102022108287.3A 2021-11-22 2022-04-06 Packagestruktur mit photonic package und interposer mit wellenleiter Pending DE102022108287A1 (de)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US202163264397P 2021-11-22 2021-11-22
US63/264,397 2021-11-22
US202163266114P 2021-12-29 2021-12-29
US63/266,114 2021-12-29
US17/703,374 2022-03-24
US17/703,374 US20230161120A1 (en) 2021-11-22 2022-03-24 Package Structure Including Photonic Package and Interposer Having Waveguide

Publications (1)

Publication Number Publication Date
DE102022108287A1 true DE102022108287A1 (de) 2023-05-25

Family

ID=85522777

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102022108287.3A Pending DE102022108287A1 (de) 2021-11-22 2022-04-06 Packagestruktur mit photonic package und interposer mit wellenleiter

Country Status (5)

Country Link
US (1) US20230161120A1 (de)
KR (1) KR20230075333A (de)
CN (1) CN115831950A (de)
DE (1) DE102022108287A1 (de)
TW (1) TWI822265B (de)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11906802B2 (en) * 2022-05-10 2024-02-20 Avago Technologies International Sales Pte. Limited Photonics integration in semiconductor packages

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10001611B2 (en) * 2016-03-04 2018-06-19 Inphi Corporation Optical transceiver by FOWLP and DoP multichip integration
US10976491B2 (en) * 2016-11-23 2021-04-13 The Research Foundation For The State University Of New York Photonics interposer optoelectronics
US10698156B2 (en) * 2017-04-27 2020-06-30 The Research Foundation For The State University Of New York Wafer scale bonded active photonics interposer
EP3776074B1 (de) * 2018-04-04 2023-11-22 The Research Foundation for the State University of New York Heterogene struktur auf einer integrierten photonikplattform
US10777430B2 (en) * 2018-06-27 2020-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic integrated package and method forming same
US10746923B2 (en) * 2018-06-27 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Photonic semiconductor device and method
US11550099B2 (en) * 2018-11-21 2023-01-10 The Research Foundation For The State University Of New York Photonics optoelectrical system
FR3120739B1 (fr) * 2021-03-11 2023-02-10 Commissariat Energie Atomique dispositif optoélectronique comportant un interposeur photonique actif auquel sont connectées une puce microélectronique et une puce de conversion électro-optique

Also Published As

Publication number Publication date
KR20230075333A (ko) 2023-05-31
US20230161120A1 (en) 2023-05-25
CN115831950A (zh) 2023-03-21
TWI822265B (zh) 2023-11-11
TW202322303A (zh) 2023-06-01

Similar Documents

Publication Publication Date Title
DE102019117173B4 (de) Photonisches halbleiter-bauelement und verfahren
DE102020107271B4 (de) Photonische Halbleitervorrichtung und Verfahren zu deren Herstellung
CN109860135A (zh) 混合互连器件和方法
DE112018000883T5 (de) Elektrooptische Einheit mit III-V-Verstärkungsmaterialien und integriertemKühlkörper
DE102019115275A1 (de) Halbleiter-Interconnect-Struktur und Verfahren
DE102019116579A1 (de) Photonische halbleitervorrichtung und verfahren
DE102010060838A1 (de) Vorrichtung und Verfahren für Lichtwellenleiter und Optokoppler, sowie Herstellungsverfahren dafür
US11852868B2 (en) Photonic semiconductor device and method of manufacture
DE102014118969A1 (de) 3DIC Dichtungsring-Struktur und Verfahren zum Herstellen derselben
DE102019219696B4 (de) Siliziumdurchkontaktierungen für die heterogene integration von halbleitervorrichtungsstrukturen und herstellungsverfahren
DE102020119103A1 (de) Photonische halbleitervorrichtung und herstellungsverfahren
US20210096311A1 (en) Photonic semiconductor device and method of manufacture
US11747563B2 (en) Photonic semiconductor device and method of manufacture
DE112013003336T5 (de) Integrierte optoelektronische Vorrichtung mit Wellenleiter und Herstellungsverfahren derselben
DE102022108287A1 (de) Packagestruktur mit photonic package und interposer mit wellenleiter
DE102017126181A1 (de) Leitfähige Durchkontaktierungen in Halbleiterpackages und Verfahren zur Herstellung derselben
DE102021119243A1 (de) Geformte dies in halbleiterpackages und deren herstellungsverfahren
DE102020120097A1 (de) Halbleitervorrichtunge und herstellungsverfahren
US20220382003A1 (en) Photonic Semiconductor Device and Method of Manufacture
DE102018106508B4 (de) Hybrid-interconnect-bauelement und verfahren
DE102021129493A1 (de) Silizium-auf-isolator-chipstruktur mit substrat-eingebettetem optischen wellenleiter und verfahren
DE102022108359A1 (de) Halbleiterbauelemente und deren herstellungsverfahren
DE102020116340A1 (de) Gestapelter bildsensorvorrichtung und deren herstellungsverfahren
DE102021108156A1 (de) Halbleiter-package und verfahren zur bildung derselben
DE102022102022A1 (de) Integrierter 3dic mit gestapelten photonischen dies und verfahren zu seiner herstellung

Legal Events

Date Code Title Description
R012 Request for examination validly filed