DE102019203596A1 - Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden - Google Patents

Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden Download PDF

Info

Publication number
DE102019203596A1
DE102019203596A1 DE102019203596.5A DE102019203596A DE102019203596A1 DE 102019203596 A1 DE102019203596 A1 DE 102019203596A1 DE 102019203596 A DE102019203596 A DE 102019203596A DE 102019203596 A1 DE102019203596 A1 DE 102019203596A1
Authority
DE
Germany
Prior art keywords
layer
mandrel
forming
mandrel line
hard mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102019203596.5A
Other languages
English (en)
Other versions
DE102019203596B4 (de
Inventor
Minghao Tang
Yuping REN
Sean Xuan Lin
Shao Beng Law
Genevieve BEIQUE
Xun Xiang
Rui Chen
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102019203596A1 publication Critical patent/DE102019203596A1/de
Application granted granted Critical
Publication of DE102019203596B4 publication Critical patent/DE102019203596B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Verfahren der selbstausgerichteten Mehrfachstrukturierung. Eine Dornlinie wird über einer Hartmaskenschicht gebildet und eine Blockmaske wird über einem ersten Abschnitt der Dornlinie gebildet, der linear zwischen jeweiligen zweiten Abschnitten der Dornlinie angeordnet ist. Nach dem Bilden der ersten Blockmaske werden die zweiten Abschnitte der Dornlinie mit einem Ätzprozess entfernt, um die Dornlinie zu schneiden und entsprechende Abschnitte der Hartmaskenschicht freizulegen. Ein zweiter Abschnitt der Dornlinie ist während des Ätzprozesses von der Blockmaske bedeckt, um einen Dorn zu definieren, der in die Dornlinie geschnitten wird.

Description

  • Hintergrund
  • Die vorliegende Erfindung betrifft die Herstellung von Halbleitervorrichtungen und integrierten Schaltungen und insbesondere Verfahren zur Mehrfachstrukturierung.
  • Es kann eine Back-End-of-Line (BEOL) -Verbindungsstruktur verwendet werden, um auf einem Substrat hergestellte Vorrichtungsstrukturen während der Front-End-of-Line-(FEOL) -Verarbeitung miteinander und mit der Umgebung außerhalb des Chips zu verbinden. Selbstausgerichtete Strukturierungsprozesse, die zum Bilden einer BEOL-Verbindungsstruktur verwendet werden, umfassen Dornlinien als Opfermerkmale, die einen Merkmalsabstand festlegen. Seitenwandabstandshalter sind benachbart zu den Seitenwänden der Dornlinien ausgebildet. Nach dem selektiven Entfernen der Dornlinien werden die Abstandshalter als eine Ätzmaske verwendet, um eine darunterliegende Hartmaske über Bereichen, die durch Abziehen des Dorns freigelegt werden, und Bereiche zwischen den Abstandshaltern zu ätzen. Die Struktur wird von der Hartmaske auf eine dielektrische Zwischenschicht übertragen, um Gräben zu definieren, in denen die Drähte der BEOL-Verbindungsstruktur gebildet werden.
  • Es sind verbesserte Verfahren zur Mehrfachstrukturierung erforderlich.
  • Zusammenfassung
  • In einer Ausführungsform der Erfindung umfasst ein Verfahren ein Bilden einer Dornlinie über einer Hartmaskenschicht und ein Bilden einer Blockmaske über einem ersten Abschnitt der Dornlinie, der linear zwischen jeweiligen zweiten Abschnitten der Dornlinie angeordnet ist. Das Verfahren umfasst ferner nach dem Bilden der ersten Blockmaske ein Entfernen der zweiten Abschnitte der ersten Dornlinie mit einem ersten Ätzprozess, um die erste Dornlinie zu schneiden und jeweilige erste Abschnitte der Hartmaskenschicht freizulegen. Ein zweiter Abschnitt der ersten Dornlinie wird während des ersten Ätzprozesses von der ersten Blockmaske bedeckt, um einen Dorn zu definieren, der in die erste Dornlinie geschnitten ist.
  • Figurenliste
  • Die beiliegenden Zeichnungen, die in dieser Beschreibung enthalten sind und einen Teil davon bilden, veranschaulichen verschiedene Ausführungsformen der Erfindung und dienen zusammen mit einer oben gegebenen allgemeinen Beschreibung der Erfindung und der detaillierten Beschreibung der unten angegebenen Ausführungsformen zur Erläuterung der Ausführungsformen der Erfindung.
    • 1 bis 20 sind Querschnittsansichten einer Struktur in aufeinanderfolgenden Fertigungsstufen eines Verarbeitungsverfahrens gemäß Ausführungsformen der Erfindung.
    • 9A ist eine Draufsicht auf die Struktur, in welcher 9 allgemein entlang der Linie 9-9 genommen ist.
    • 13A ist eine Draufsicht auf die Struktur, in der 13 allgemein entlang der Linie 13-13 genommen ist.
    • 14A ist eine Draufsicht auf die Struktur, in der 14 im Allgemeinen entlang der Linie 14-14 genommen ist.
  • Detaillierte Beschreibung
  • Unter Bezugnahme auf 1 und gemäß einer Ausführungsform der Erfindung umfasst eine Struktur 10 eine untere Hartmaskenschicht 20, eine obere Hartmaskenschicht 25, eine Dornschicht 30 und eine Dornstrukturierungsschicht 40, die seriell auf einer dielektrischen Zwischenschicht 15 abgeschieden sind. Die dielektrische Zwischenschicht 15 kann aus einem elektrisch isolierenden dielektrischen Material gebildet sein, z. B. mit Wasserstoff angereichertes Siliziumoxycarbid (SiCOH) oder ein anderer Typ von einem dielektrischen Material mit niedrigem k, das durch chemische Gasphasenabscheidung (CVD) abgeschieden wird. Die dielektrische Zwischenschicht 15 kann sich auf einem Substrat (nicht gezeigt) befinden, das Vorrichtungsstrukturen umfasst, die mittels Front-End-of-Line (FEOL) -Verarbeitung hergestellt werden, um eine integrierte Schaltung zu bilden. Die untere Hartmaskenschicht 20 kann aus irgendeinem geeigneten Material auf Metallbasis bestehen, wie beispielsweise Titannitrid (TiN). Die obere Hartmaskenschicht 25 kann aus irgendeinem geeigneten dielektrischen Material bestehen, wie beispielsweise Siliziumnitrid. Die Dornschicht 30 kann zum Beispiel aus amorphem Silizium (a-Si) oder einem anderen Material gebildet sein, das zum Bilden von Dornlinien in mehreren Strukturierungsprozessen verwendet wird, wie beispielsweise selbstausgerichtete Doppelstrukturierungsprozesse (SADP) oder selbstausgerichtete Vierfachstrukturierungsprozesse (SAQP). Die Dornstrukturierungsschicht 40 kann aus einem dielektrischen Material bestehen, beispielsweise einem Niedertemperaturoxid (LTO) aus Silizium.
  • Mit Bezug auf 2, in der sich gleiche Bezugszeichen auf gleiche Merkmale in 1 beziehen, und in einer nachfolgenden Fertigungsstufe des Verarbeitungsverfahrens wird die Dornstrukturierungsschicht 40 strukturiert, um Abschnitte der Dornschicht 30 freizulegen, die geätzt und entfernt werden sollen, wie nachstehend beschrieben wird. Die Dornstrukturierungsschicht 40 kann zum Beispiel durch einen Lithographie- und Ätzprozess strukturiert werden. Zu diesem Zweck kann ein Lithographiestapel (nicht gezeigt) über der Struktur 10 bereitgestellt werden und eine strukturierte Lackschicht kann über dem Lithographiestapel vorgesehen sein, wobei die strukturierte Lackschicht Öffnungen aufweist, die zu entfernenden Abschnitten der Dornschicht 30 entsprechen. Der Lithographiestapel wird mit einem Ätzprozess strukturiert, während er durch die strukturierte Lackschicht maskiert wird, um zu entfernende Abschnitte der Dornstrukturierungsschicht 40 freizulegen. Die freiliegenden Abschnitte der Dornstrukturierungsschicht 40 werden dann geätzt und entfernt, um darunter liegende Abschnitte der Dornschicht 30 freizulegen.
  • In herkömmlichen Herstellungsprozessen werden Schnitte, die in Dornlinien gebildet werden sollen, im Allgemeinen definiert, indem die strukturierte Dornstrukturierungsschicht 40 vor dem Ätzen der Dornschicht 30 geschnitten wird. Jedoch kann das Bilden von Schnitten in den Dornlinien auf diese Weise aus mehreren Gründen unerwünscht sein. Zum Beispiel werden herkömmliche Dornlinienschnitte im Allgemeinen durch die Breite der Abstandshalter begrenzt, die an den Seitenwänden der geschnittenen Dornlinien gebildet sind, und werden im Allgemeinen so begrenzt, dass sie einen durchgehenden Abstand zwischen den Enden der geschnittenen Dornlinien bereitstellen, der geringer ist als oder gleich der doppelten Abstandhalterdicke ist, was die Flexibilität bei der Gestaltung von Metallisierungslinien einschränken kann. Als weiteres Beispiel folgen die Abstandshalter der Kontur der Seitenwände der Dornlinien und wachsen innerhalb der Schnitte zusammen, wodurch zwischen den konvergierenden Abstandshaltern an den Kanten der Schnitte Lücken oder Vertiefungen entstehen, die zu einem „Verengungs“-Störeffekt in den Dornlinien an diesen Lücken führen. In späteren Stadien, wenn die Nicht-Dorn-Linien in Maskierungsschichten geätzt werden, sind die Nicht-Dorn-Linien an den Stellen dieser Lücken verzerrt und breiter als vorgesehen, was zu gestörten Metallisierungslinien führt, die von benachbarten Metallisierungslinien unzureichend getrennt sein können und möglicherweise zu Kurzschlüssen in der Schaltungsstruktur führen können. In den hier beschriebenen Prozessen werden Einschnitte in den Dornlinien 35 erst gebildet, nachdem die Nicht-Dornlinien 60 in die obere Hartmaskenschicht 25 strukturiert, geschnitten und geätzt wurden, wie nachstehend beschrieben wird. Dies vermeidet Verzerrungen in den Nicht-Dorn-linien 60 und ermöglicht auch eine größere Gestaltungsflexibilität, da die Dornlinienschnitte nicht durch die Dicke des Abstandshalters begrenzt sind.
  • Mit Bezug auf 3, in der sich gleiche Bezugszahlen auf gleiche Merkmale in 2 beziehen, und in einer nachfolgenden Fertigungsstufe des Verarbeitungsverfahrens wird die Dornschicht 30 geätzt, um Dornlinien 35 zu bilden, die über der oberen Hartmaskenschicht 25 angeordnet sind. Das Ätzen der Dornschicht 30 kann einen selektiven anisotropen reaktiven lonenätzprozess (RIE-Prozess) umfassen, um beispielsweise das Material der Dornschicht 30 zu entfernen, das für die Materialien der oberen Hartmaskenschicht 25 und der Domstrukturierungsschicht 40 selektiv ist. Wie hier verwendet, bedeutet der Begriff „selektiv“ in Bezug auf einen Materialentfernungsprozess (z. B. Ätzen), dass bei einer geeigneten Wahl des Ätzmittels die Materialentfernungsrate (d. h. Ätzrate) für das Zielmaterial größer ist als die Entfernungsrate für mindestens ein anderes Material, das dem Materialentfernungsprozess ausgesetzt ist.
  • Mit Bezug auf 4, in der sich gleiche Bezugszahlen auf gleiche Merkmale in 3 beziehen, und in einem nachfolgenden Fertigungsschritt des Herstellungsverfahrens wird die Dornstrukturierungsschicht 40 entfernt und es wird eine Abstandshalterschicht 50 über den Dornlinien aufgebracht. Die Dornstrukturierungsschicht 40 kann zum Beispiel durch einen selektiven Nassätzprozess entfernt werden. Die Abstandshalterschicht 50 kann eine konforme Schicht sein, die durch ein beliebiges Abscheidungsverfahren abgeschieden wird, wie etwa eine chemische Gasphasenabscheidung (CVD) oder Atomlagenabscheidung (ALD), und kann ein Oxid von Silizium, Titanoxid oder ein anderes dielektrisches Material umfassen. Zwischenräume zwischen der Abstandshalterschicht 50 an Seitenwänden von benachbarten Dornlinien 35 definieren Nicht-Dornlinien 60, die zwischen benachbarten Paaren von Dornlinien 35 angeordnet sind und die ebenfalls von der abgeschiedenen Abstandshalterschicht 50 bedeckt werden.
  • Mit Bezug auf 5, in der sich gleiche Bezugszeichen auf gleiche Merkmale in 4 beziehen, und in einer nachfolgenden Fertigungsstufe des Verarbeitungsverfahrens wird eine Spin-On-Hartmaske (SOH) 65 über der Struktur 10 gebildet und anschließend zurückgeätzt, um obere Abschnitte der Abstandshalterschicht 50 freizulegen, die über den oberen Oberflächen der Dornlinien 35 angeordnet sind. Die aufgeschleuderte Hartmaske 65 kann aus einem organischen Material bestehen, beispielsweise einem organischen Planarisierungsschichtmaterial (OPL-Material). Die aufgeschleuderte Hartmaske 65 füllt die Nicht-Dornlinien 60 und deckt Abschnitte der Abstandshalterschicht 50 ab, die über der oberen Hartmaskenschicht 25 liegen, was dazu dient, diese Abschnitte der Abstandshalterschicht 50 in nachfolgenden Verarbeitungsstufen zu schützen.
  • Mit Bezug auf 6, in der sich gleiche Bezugszahlen auf gleiche Merkmale in 5 beziehen, und in einer nachfolgenden Fertigungsstufe des Verarbeitungsverfahrens werden die nicht maskierten und freiliegenden Teile der Abstandshalterschicht 50 geätzt und entfernt, um die jeweiligen oberen Oberflächen von Dornlinien 35 freizulegen, die anschließend zum Dornziehen benötigt werden. Die Abstandshalterschicht 50 kann zum Beispiel durch einen zeitgesteuerten anisotropen reaktiven lonenätzprozess (RIE-Prozess) geätzt werden. Die gegen den Ätzprozess beständige Spin-On-Hartmaske 65 bedeckt und schützt Abschnitte der Abstandshalterschicht 50, die über den Nicht-Dornlinien 60 und oberhalb der oberen Hartmaskenschicht 25 angeordnet sind. Nach dem Ätzen der oberen Abschnitte der Abstandshalterschicht 50 werden die verbleibenden Teile der Spin-On-Hartmaske 65 zum Beispiel durch einen Veraschungsprozess entfernt.
  • Mit Bezug auf 7, in der sich gleiche Bezugszahlen auf gleiche Merkmale in 6 beziehen, und in einer nachfolgenden Fertigungsstufe des Verarbeitungsverfahrens wird eine Spin-On-Hartmaske 70 über der Struktur 10 gebildet. Die Spin-On-Hartmaske 70 kann aus dem gleichen Material wie die Spin-On-Hartmaske 65 (6) bestehen, wie etwa ein organisches Planarisierungsschicht-Material (OPL-Material) oder kann aus einem anderen Material bestehen.
  • Mit Bezug auf 8, in der sich gleiche Bezugszahlen auf gleiche Merkmale in 7 beziehen, und in einer nachfolgenden Fertigungsstufe des Verarbeitungsverfahrens werden ein Lithographiestapel 72 und ein strukturierter Lack 74 über der Spin-On-Hartmaske 70 gebildet. Der Lithographiestapel 72 kann eine oder mehrere Photolithographieschichten umfassen, beispielsweise eine Antireflexionsschicht, eine Hartmaskenschicht und eine Planarisierungsschicht. Der strukturierte Lack 74 wird strukturiert, um Blöcke zu bilden, die Bereiche des Lithographiestapels bedecken, die anschließend verwendet werden, um Nicht-Dorn-Schnitte in den Hartmaskenschichten 20, 25 zu bilden, wie weiter unten beschrieben wird.
  • Mit Bezug auf die 9 und 9A, in denen sich gleiche Bezugszahlen auf gleiche Merkmale in 8 beziehen, und in einem nachfolgenden Herstellungsschritt des Verarbeitungsverfahrens werden nicht maskierte Abschnitte des Lithographiestapels 72 geätzt und entfernt, um Abschnitte der Spin-On-Hartmaske 70 freizulegen, und die freiliegenden Abschnitte der Spin-On-Hartmaske 70 werden geätzt und entfernt, um Teile der Abstandshalterschicht 50, die über der oberen Hartmaskenschicht 25 liegen, freizulegen, wobei Nicht-Dorn-Blockmasken 75 als Reste der Strukturierung übrig bleiben. Die freiliegenden Abschnitte der Abstandshalterschicht 50 werden geätzt und entfernt, um Abstandshalter 55 an den Seitenwänden der Dornlinien 35 zu bilden, und die darunterliegenden Abschnitte der oberen Hartmaskenschicht 25, die durch das Entfernen der freiliegenden Abschnitte der Abstandshalterschicht 50 freigelegt werden, werden nachfolgend geätzt, um Nicht-Dornliniengräben 80 in der oberen Hartmaskenschicht 25 zu bilden. Die Nicht-Dornblockmasken 75 schützen darunterliegende Abschnitte der oberen Hartmaskenschicht 25 vor dem Grabenätzen, um einen oder mehrere Nicht-Dorn-Schnitte zu bilden, die im Allgemeinen durch das Bezugszeichen 85 bezeichnet wird. Die Nicht-Dornliniengräben 80 in der oberen Hartmaskenschicht 25 und die freiliegenden Teile der Abstandshalterschicht 50 können nacheinander mit einem einzigen Ätzprozess geätzt werden, wie etwa einem anisotropen RIE-Prozess, bei dem ihre jeweiligen Materialien zu den Materialien der Abstandshalterschicht 50 und der oberen Hartmaskenschicht 25 selektiv entfernt werden, oder können stattdessen in separaten Ätzstufen geätzt werden. Verbleibende Abschnitte der Spin-On-Hartmaske 70, des Lithographiestapels 72 und des strukturierten Lacks 74 werden entfernt, nachdem die Nicht-Dorn-Liniengräben 80 und Nicht-Dorn-Einschnitte gebildet werden.
  • Mit Bezug auf 10, in der sich gleiche Bezugszeichen auf gleiche Merkmale in den 9 bis 9A beziehen, und in einer nachfolgenden Fertigungsstufe des Verarbeitungsverfahrens wird eine Spin-On-Hartmaske 90 über der Struktur 10 gebildet und ein weiterer Lithographiestapel 92 und ein strukturierter Lack 94 werden über der Spin-On-Hardmask 90 gebildet. Die Spin-On-Hardmask 90 kann aus dem gleichen Material bestehen wie die Spin-On-Hartmaske 65 und/oder die Spin-On-Hartmaske 70 oder kann aus einem anderen Material bestehen. Die Spin-On-Hartmaske 90 deckt Dornlinien 35, Nicht-Dornliniengräben 80 und Nicht-Dornschnitte 85 ab. Der Lithographiestapel 92 kann eine oder mehrere Photolithographieschichten umfassen, beispielsweise eine Antireflexionsschicht, eine Hartmaskenschicht und eine Planarisierungsschicht. Der strukturierte Lack 94 wird strukturiert, um Blöcke zu bilden, die Bereiche des Lithographiestapels bedecken, die anschließend verwendet werden, um Dornschnitte in den Hartmaskenschichten 20, 25 zu bilden, wie weiter unten beschrieben wird.
  • Mit Bezug auf 11, in der sich gleiche Bezugszahlen auf gleiche Merkmale in 10 beziehen, und in einem nachfolgenden Fertigungsschritt des Verarbeitungsverfahrens werden nicht maskierte Teile des Lithographiestapels 92 geätzt und entfernt, um Abschnitte der Spin-on-Hartmaske 90 zu entfernen, und diese freiliegenden Abschnitte der Spin-On-Hartmaske 90 werden zurückgeätzt, um die Dornlinien 35 freizulegen. Die Dornlinien-Blockmasken 95 verbleiben als Reste der strukturierten Spin-On-Hartmaske 90. Teile der Spin-On-Hartmaske 90 füllen ebenfalls die Nicht-Dornliniengräben 80 in der unteren Hartmaskenschicht 20. Es kann im Allgemeinen vorteilhaft sein, nur die freiliegenden Abschnitte der Spin-On-Hartmaske 90 zu ätzen, statt die freiliegenden Abschnitte der Spin-On-Hartmaske 90 vollständig zu ätzen und zu entfernen, da die verbleibenden ungeätzten Abschnitte der Spin-On-Hartmaske 90 darunter liegende Abschnitte der unteren Hartmaskenschicht 20 während des Entfernens der Dornlinien 35 schützen können, wie unten beschrieben ist.
  • Wie oben beschrieben, gibt es bei der Bildung von Dornschnitten in einer Dornstrukturierungsschicht vor dem Ätzprozess, der zum Bilden der Dornlinien verwendet wird, einige Nachteile. In den hier beschriebenen Prozessen werden in der Dornstrukturierungsschicht keine Dornschnitte gebildet, sondern stattdessen werden die Dornschnitte durch Dornlinienblockmasken 95 definiert, nachdem Nicht-Dornlinien 60 in die obere Hartmaskenschicht 25 und danach strukturiert und geätzt worden sind und nachdem Abstandshalter 55 gebildet werden. Die Dornleitungsblockmasken 95 müssen daher nicht auf eine Breite von weniger als oder gleich der doppelten Dicke der Abstandshalter 55 beschränkt sein und können in der Breite viel größer gemacht werden, wenn dies von den Konstruktionsspezifikationen gefordert wird. Da die Abstandshalter 55 nicht in den Schnitten zwischen den Enden der geschnittenen Dornlinien 35 ausgebildet sind und sich nur an den Seitenwänden der ungeschnittenen Dornlinien 35 bilden, können unerwünschte „Verengungs“-Effekte in den Nicht-Dornlinien 60, wie oben beschrieben, eliminiert werden.
  • Unter Bezugnahme auf 12, in der sich gleiche Bezugszahlen auf gleiche Merkmale in 11 beziehen, und in einer nachfolgenden Fertigungsstufe des Verarbeitungsverfahrens werden nicht maskierte Teile der Dornlinien 35 mit einem Ätzprozess entfernt. Abschnitte der geätzten Dornlinien 35 werden während des Ätzprozesses durch Dornlinienlinienblockmasken 95 abgedeckt (d.h. maskiert) und geschützt, wobei die nicht maskierten (d. h. freiliegenden) Abschnitte der Dornlinien 35 entfernt werden. Die maskierten Abschnitte und die nicht maskierten Abschnitte der Dornlinien 35 sind linear zueinander angeordnet. Wie in 12 dargestellt, kann der Ätzprozess, der die Dornlinien 35 zieht, auch die verbleibenden Teile der Spin-On-Hartmaske 90 und die Dornlinienblockmasken 95 teilweise ätzen. Durch das Entfernen der nicht maskierten Abschnitte der Dornlinien 35 werden darunterliegende Abschnitte der oberen Hartmaskenschicht 25 freigelegt, die in den Nicht-Dornlinien 60 zwischen den Abstandshaltern 55 angeordnet sind. Abschnitte der oberen Hartmaskenschicht 25, die mit Dornlinienblockmasken 95 bedeckt sind, verbleiben nach dem Ätzprozess.
  • Mit Bezug auf die 13 und 13A, in denen sich gleiche Bezugszahlen auf gleiche Merkmale in 12 beziehen, und in einer nachfolgenden Fertigungsstufe des Verarbeitungsverfahrens werden die durch Entfernen der Dornlinien 35 freigelegten Abschnitte der oberen Hartmaskenschicht 25 geätzt, um Dornliniengräben zu bilden Die Dornliniengräben 100, die das Muster der gezogenen Dornlinien 35 und der Dornleitungsblockmasken 95 replizieren, können in der oberen Hartmaskenschicht 25 beispielsweise durch einen selektiven anisotropen RIE-Prozess geätzt werden. Nichtgezogene Abschnitte der Dornlinien 35, die aufgrund der Maskierung durch die Dornlinienblockmasken 95 über der oberen Hartmaskenschicht 25 verbleiben, bedecken Dornschnitte 110 in den Dornliniengräben 100 und gehen damit einher, wie am besten in 13A gezeigt ist.
  • Mit Bezug auf die 14 und 14A, in denen sich gleiche Bezugszahlen auf gleiche Merkmale in den 13 und 13A beziehen, und in einem nachfolgenden Fertigungsschritt des Verarbeitungsverfahrens werden die verbleibenden Teile der Dornlinien 35 geätzt und entfernt, und die obere Hartmaskenschicht 25 wird als Ätzmaske zum Ätzen der Gräben 82, 102 in der unteren Hartmaskenschicht verwendet. Die Gräben 82, 102 in der unteren Hartmaskenschicht 20 weisen die gleiche Struktur auf wie Nicht-Domliniengräben 80, Dornliniengräben 100, die Dornschnitte 110 und die Nicht-Dornschnitte 85 in der oberen Hartmaskenschicht 25, welche wird durch den Ätzprozess auf die obere Hartmaskenschicht 25 übertragen wird. Die Gräben 82, 102 in der unteren Hartmaskenschicht 20 können anschließend verwendet werden, um Gräben in die darunterliegende dielektrische Zwischenschicht 15 zu ätzen. Die Gräben in der dielektrischen Zwischenschicht 15 werden dann mit einem Metall gefüllt, wie beispielsweise Kupfer oder Kobalt, um Metallisierungsleitungen einer Metallisierungsschicht zu festzulegen.
  • Unter Bezugnahme auf 15, in der sich gleiche Bezugszahlen auf gleiche Merkmale in 6 beziehen, und gemäß alternativen Ausführungsformen des Verarbeitungsverfahrens kann die Abstandshalterschicht 50 geätzt werden, um Abstandshalter 55 ohne irgendeine Art von teilweiser Maskierung zu bilden. Die Bildung der Abstandshalter 55 legt obere Oberflächen der Dornlinien 35 und Abschnitte der oberen Hartmaskenschicht 25 zwischen den Abstandshaltern 55 frei. In den alternativen Ausführungsformen wird die Spin-On-Hartmaske 65 nicht gebildet, wie in 5 gezeigt. Die Abstandshalterschicht 50 kann beispielsweise durch einen anisotropen RIE-Prozess geätzt werden, der das Material der Abstandshalterschicht 50 bezüglich den Materialien der oberen Hartmaskenschicht 25 und der Dornlinien 35 selektiv entfernt.
  • In Bezug auf 16, in der sich gleiche Bezugszahlen auf gleiche Merkmale in 15 beziehen, und in einer nachfolgenden Fertigungsstufe des Verarbeitungsverfahrens wird eine Spin-On-Hartmaske 70 über der Struktur 10 gebildet und eine Opfermaskenschicht 73 wird über der Spin-On-Hartmaske 70 gebildet. Die Hartmaskenopferschicht 73 kann aus einem Oxid von Silizium, einem Siliziumnitrid, Siliziumoxynitrid (SiON), Siliziumcarbonitrid (SiCN) oder einem anderen geeigneten Material bestehen. In einer Ausführungsform kann die Opfer-Hartmaskenschicht 73 aus dem gleichen Material bestehen, wie die obere Hartmaskenschicht 25, wie z. B. Siliziumnitrid. Der Lithographiestapel 72 und der strukturierte Lack 74, wie oben in Verbindung mit 8 beschrieben, werden über der Opferhartmaskenschicht 73 gebildet. In einer Ausführungsform befindet sich die Opferhartmaskenschicht 73 in direktem Kontakt mit der Spin-On-Hartmaske 70. In einer alternativen Ausführungsform kann die Opferhartmaskenschicht 73 innerhalb des Lithographiestapels 72 angeordnet sein und als Teil des Prozesses des Bildens des Lithographiestapels 72 über der Spin-On-Hartmaske 70 gebildet werden.
  • Mit Bezug auf 17, in der sich gleiche Bezugszahlen auf gleiche Merkmale in 16 beziehen, und in einer nachfolgenden Fertigungsstufe des Verarbeitungsverfahrens werden nicht maskierte Teile des Lithographiestapels 72 geätzt und entfernt, um Abschnitte der darunterliegenden Opferhartmaskenschicht 73 freizulegen. Die freiliegenden Abschnitte der Opferhartmaskenschicht 73 werden entfernt und die freiliegenden Abschnitte der Spin-On-Hartmaske 70 werden entfernt, was zu Nicht-Dorn-Blockmasken 75 führt, wobei Abschnitte der Opferhartmaskenschicht 73 über den Nicht-Dorn-Blockmasken 75 angeordnet bleiben und die obere Oberfläche von jeder der Nicht-Dorn-Blockmasken 75 bedecken. Wenn freiliegende Abschnitte der oberen Hartmaskenschicht 25 geätzt werden, um Nicht-Dorn-Liniengräben 80 zu bilden, wie in 9 dargestellt ist, können die verbleibenden Abschnitte der Opferschutzmaskenschicht 73 ebenfalls entfernt werden. In Ausführungsformen, bei denen die Opferhartmaskenschicht 73 und die obere Hartmaskenschicht 25 aus demselben Material bestehen, wie beispielsweise Siliziumnitrid, kann das Ätzen der Nicht-Dornliniengräben 80 in freiliegenden Abschnitten der oberen Hartmaskenschicht 25 die Opferhartmaskenschicht vollständig entfernen. Die darunterliegenden Nicht-Dorn-Blockmasken 75 können somit während des Ätzens der Nicht-Dorn-Liniengräben 80 in der oberen Hartmaskenschicht 25 maskiert und geschützt werden.
  • Mit Bezug auf 18, in der sich gleiche Bezugszahlen auf gleiche Merkmale in 17 beziehen, und in einer nachfolgenden Fertigungsstufe des Verarbeitungsverfahrens werden eine Spin-On-Hartmaske 90, wie zuvor beschrieben, und eine Opferhartmaskenschicht 93 über der Spin-On-Hartmaske 90 gebildet. Die Opferhartmaskenschicht 93 kann ein beliebiges Hartmaskenmaterial umfassen, wie etwa ein Oxid von Silizium, ein Nitrid von Silizium, Siliziumoxynitrid (SiON), Siliziumcarbonitrid (SiCN) oder ein anderes Hartmaskenmaterial. In einer Ausführungsform kann die Opferhartmaskenschicht 93 aus dem gleichen Material wie die obere Hartmaskenschicht 25 bestehen, z. B. Siliziumnitrid. Der Lithographiestapel 92 und der strukturierte Lack 94, wie oben in Verbindung mit 10 beschrieben, werden über der Opferhartmaskenschicht 93 gebildet. In einer alternativen Ausführungsform kann die Opferhartmaskenschicht 93 innerhalb des Lithographiestapels 92 angeordnet sein und als Teil des Prozesses zum Bilden des Lithographiestapels 92 über der Spin-On-Hartmaske 90 gebildet werden.
  • Mit Bezug auf 19, in der sich gleiche Bezugszeichen auf gleiche Merkmale in 18 beziehen, und in einem nachfolgenden Fertigungsschritt des Verarbeitungsverfahrens werden freiliegende Teile des Lithographiestapels 92 geätzt und entfernt, um Abschnitte der darunterliegenden Opferschutzmaskenschicht 93 freizulegen. Die freiliegenden Abschnitte der Opferschutzmaskenschicht 93 werden entfernt und die freiliegenden Abschnitte der Spin-On-Hartmaske 90 werden zurückgeätzt, um die Dornlinien 35 freizulegen, wobei Dornlinienblockmasken 95 als Reste der Strukturierung zusammen mit den darüberliegenden Abschnitten der Opfer-Hartmaskenschicht 93 verbleiben, die über den Dornlinienblockmasken 95 verbleiben. Die Abschnitte der Spin-On-Hartmaske 90 füllen auch die Nicht-Dornliniengräben 80 in der unteren Hartmaskenschicht 20.
  • Mit Bezug auf 20, in der sich gleiche Bezugszahlen auf gleiche Merkmale in 19 beziehen, und in einer nachfolgenden Fertigungsstufe des Verarbeitungsverfahrens werden nicht maskierte Teile der Dornlinien 35 geätzt und entfernt. Abschnitte von einer oder mehreren Dornlinien 35, die durch Dornlinienblockmasken 95 maskiert und geschützt sind, bleiben nach dem Ätzen übrig. Wie zuvor in 12 gezeigt, kann das Ätzen des Materials der Dornlinien 35 ohne die Verwendung der Opferhartmaskenschicht 93 auch die verbleibenden Abschnitte der Spin-On-Hartmaske 90 und der Dornlinienblockmasken 95 teilweise ätzen. Wenn die Dornlinien 35 und Abstandshalter 55 sehr kleine Breitenabmessungen aufweisen, wie etwa 10 nm oder weniger, kann das Ätzen der Dornlinien 35 die Dornlinienblockmasken 95 überätzen, was dazu führen kann, dass die darunterliegenden Dornlinien 35 unbeabsichtigt entfernt werden, und was somit die Bildung von Einschnitten in den Dornliniengräben 100 verhindern sollen, die in späteren Stufen geätzt werden. Wie in 20 dargestellt, schützen jedoch die Abschnitte der Opferschutz-Hartmaskenschicht 93 die darunterliegenden Dornlinienblockmasken 95 vor dem Ätzprozess der Dornlinie, wodurch verhindert wird, dass die darunterliegenden Abschnitte der Dornlinien 35 entfernt werden, und stellen sicher, dass Einschnitte in den Dornliniengräben 100 gebildet werden. Im Anschluss an den Ätzprozess, der die Dornlinien 35 zieht, können die Dornliniengräben 100 in die obere Hartmaskenschicht 25 geätzt werden; die Opfer-Hartmaskenschicht 93 wird zusammen mit dem Ätzprozess des Dornliniengrabens 100 entfernt und die Bearbeitung kann, wie in den 13, 13A und in den 14, 14A dargestellt ist, fortgesetzt werden.
  • Die oben beschriebenen Verfahren werden bei der Herstellung von integrierten Schaltungschips verwendet. Die resultierenden integrierten Schaltungschips können vom Hersteller in der Form von rohen Wafern (z. B. als ein einzelner Wafer, der mehrere nichtverpackte Chips aufweist), als blanker Chip oder in einer verpackten Form vertrieben werden. Im letzteren Fall ist der Chip in einem Einzelchipgehäuse (z. B. einem Kunststoffträger mit an einer Hauptplatine oder einem anderen übergeordneten Träger befestigten Leitungen) oder in einem Multichip-Gehäuse (z. B. einem Keramikträger mit Oberflächenverbindungen und/oder vergrabenen Verbindungen) montiert. In jedem Fall kann der Chip mit anderen Chips, diskreten Schaltungselementen und/oder anderen Signalverarbeitungsvorrichtungen als Teil von entweder einem Zwischenprodukt oder einem Endprodukts integriert werden.
  • Bezugnahmen hierin auf Begriffe wie „vertikal“, „horizontal“ usw. werden beispielhaft und nicht einschränkend gemacht, um einen Bezugsrahmen zu schaffen. Der Begriff „horizontal“, wie er hier verwendet wird, ist als eine Ebene definiert, die zu einer herkömmlichen Ebene eines Halbleitersubstrats parallel ist, unabhängig von seiner tatsächlichen dreidimensionalen räumlichen Orientierung. Die Ausdrücke „vertikal“ und „normal“ beziehen sich auf eine gerade definierte Richtung senkrecht zur Horizontalen. Der Begriff „seitlich“ bezieht sich auf eine Richtung innerhalb der horizontalen Ebene. Ausdrücke wie „oben“ und „unten“ werden verwendet, um die Positionierung von Elementen oder Strukturen relativ zu der relativen Höhe im Verhältnis zueinander anzugeben.
  • Ein Merkmal, das mit oder mit einem anderen Element „verbunden“ oder „gekoppelt“ ist, kann direkt mit dem anderen Element verbunden oder gekoppelt sein, oder es können stattdessen ein oder mehrere dazwischenliegende Elemente vorhanden sein. Ein Merkmal kann mit einem anderen Element „direkt verbunden“ oder „direkt gekoppelt“ sein, wenn keine dazwischenliegenden Elemente vorhanden sind. Ein Merkmal kann mit einem anderen Element „indirekt verbunden“ oder „indirekt gekoppelt“ sein, wenn mindestens ein dazwischen liegendes Element vorhanden ist.
  • Die Beschreibungen der verschiedenen Ausführungsformen der vorliegenden Erfindung wurden zum Zwecke der Veranschaulichung vorgelegt, sollen aber nicht erschöpfend sein oder auf die offenbarten Ausführungsformen beschränkt sein. Für den Durchschnittsfachmann sind viele Modifikationen und Variationen offensichtlich, ohne vom Umfang und vom Geist der beschriebenen Ausführungsformen abzuweichen. Die hierin verwendete Terminologie wurde gewählt, um die Prinzipien der Ausführungsformen, die praktische Anwendung oder technische Verbesserung von auf dem Markt befindlichen Technologien am besten zu erklären, oder um anderen Fachleuten das Verständnis der hier offenbarten Ausführungsformen zu ermöglichen.

Claims (20)

  1. Verfahren, umfassend: ein Bilden einer ersten Dornlinie über einer Hartmaskenschicht; ein Bilden einer ersten Blockmaske über einem ersten Abschnitt der ersten Dornlinie, der linear zwischen jeweiligen zweiten Abschnitten der ersten Dornlinie angeordnet ist; und nach dem Bilden der ersten Blockmaske ein Entfernen der zweiten Abschnitte der ersten Dornlinie mit einem ersten Ätzprozess, um die erste Dornlinie zu schneiden und jeweilige erste Abschnitte der Hartmaskenschicht freizulegen, wobei ein zweiter Abschnitt der ersten Dornlinie während des ersten Ätzprozesses von der ersten Blockmaske bedeckt wird, um einen Dorn zu definieren, der in der ersten Dornlinie geschnitten wird.
  2. Verfahren nach Anspruch 1, wobei eine zweite Dornlinie über der Hartmaskenschicht gebildet wird, die zweite Dornlinie von der ersten Dornlinie durch eine Nicht-Dornlinie getrennt wird, die einen Streifen der Hartmaskenschicht freilegt, und ferner umfassend: vor dem Bilden der ersten Blockmaske ein Bilden einer Abstandshalterschicht über der ersten Dornlinie, der zweiten Dornlinie und dem Streifen der Hartmaskenschicht.
  3. Verfahren nach Anspruch 2, ferner umfassend: ein Bilden einer Ätzmaske, die einen ersten Abschnitt der Abstandshalterschicht über der Nicht-Dornlinie abdeckt und jeweilige zweite Abschnitte der Abstandshalterschicht über der ersten Dornlinie und der zweiten Dornlinie freilegt; und nach dem Bilden der Ätzmaske ein Entfernen der zweiten Abschnitte der Abstandshalterschicht.
  4. Verfahren nach Anspruch 3, wobei das Bilden der die Abstandshalterschicht bedeckenden Ätzmaske über der Nicht-Dorn-Linie umfasst: ein Bilden einer Spin-On-Hartmaske über der Abstandsschicht; und ein Zurückätzen der Spin-On-Hartmaske, um die zweiten Abschnitte der Abstandshalterschicht freizulegen.
  5. Verfahren nach Anspruch 2, wobei die Abstandshalterschicht aus einem Oxid von Silizium gebildet ist.
  6. Verfahren nach Anspruch 2, ferner umfassend: nach dem Bilden der Abstandshalterschicht ein Bilden einer zweiten Blockmaske, die die Abstandshalterschicht über einem ersten Abschnitt des Streifens der Hartmaskenschicht bedeckt.
  7. Verfahren nach Anspruch 6, wobei der erste Abschnitt des Streifens der Hartmaskenschicht linear zwischen jeweiligen zweiten Abschnitten des Streifens der Hartmaskenschicht angeordnet ist, und ferner umfassend: nach dem Bilden der zweiten Blockmaske ein Entfernen der Abstandshalterschicht von den zweiten Abschnitten des Streifens der Hartmaskenschicht mit einem zweiten Ätzprozess, wobei ein zweiter Abschnitt der Abstandshalterschicht während des zweiten Ätzprozesses von der zweiten Blockmaske bedeckt wird und einen Nicht-Dorn-Ausschnitt in der Abstandshalterschicht definiert.
  8. Verfahren nach Anspruch 7, ferner umfassend: ein Bilden einer Opferhartmaske über der zweiten Blockmaske, wobei die Opferhartmaske eine Ätzselektivität für den zweiten Ätzprozess aufweist, die größer ist als eine Ätzselektivität der zweiten Blockmaske für den zweiten Ätzprozess.
  9. Verfahren nach Anspruch 1, ferner umfassend: vor dem Bilden der ersten Blockmaske ein Bilden von Seitenwandabstandshaltern auf der ersten Dornlinie.
  10. Verfahren nach Anspruch 9, wobei die erste Blockmaske mit den Seitenwandabstandshaltern auf dem ersten Abschnitt der ersten Dornlinie überlappt, die Seitenwandabstandshalter eine Dicke aufweisen und die zweiten Abschnitte der ersten Dornlinie durch einen Abstand voneinander getrennt sind, der mehr als das Doppelte der Dicke der Seitenwandabstandshalter beträgt.
  11. Verfahren nach Anspruch 1, weiter umfassend: ein Entfernen der ersten Blockmaske; und ein Entfernen der ersten Abschnitte der Hartmaskenschicht mit einem zweiten Ätzprozess zur Bildung eines ersten Grabens und eines zweiten Grabens in der Hartmaskenschicht, wobei ein zweiter Abschnitt der Hartmaskenschicht während des zweiten Ätzprozesses durch den zweiten Abschnitt der ersten Dornlinie maskiert wird und der zweite Abschnitt der Hartmaskenschicht zwischen dem ersten Graben und dem zweiten Graben angeordnet ist, um den geschnittenen Dorn auf die Hartmaskenschicht zu übertragen.
  12. Verfahren nach Anspruch 11, wobei der zweite Abschnitt der ersten Dornlinie nach dem zweiten Ätzprozess über dem zweiten Abschnitt der Hartmaskenschicht angeordnet wird.
  13. Verfahren nach Anspruch 1, ferner umfassend: vor dem Entfernen der zweiten Abschnitte der ersten Dornlinie ein Bilden einer Opferhartmaske über der ersten Blockmaske, wobei die Opferhartmaske eine Ätzselektivität gegenüber dem ersten Ätzprozess aufweist, die größer ist als eine Ätzselektivität der ersten Blockmaske gegenüber dem ersten Ätzprozess.
  14. Verfahren nach Anspruch 13, wobei die Opferhartmaske in direktem Kontakt zu der ersten Blockmaske angeordnet ist.
  15. Verfahren nach Anspruch 13, ferner umfassend: nach dem Entfernen der zweiten Abschnitte der ersten Dornlinie ein Entfernen der Opferhartmaske und der ersten Blockmaske; und ein Entfernen der ersten Teile der Hartmaskenschicht mit einem zweiten Ätzprozess, um einen ersten Graben und einen zweiten Graben zu bilden und um den abgeschnittenen Dorn auf einen zweiten Abschnitt der Hartmaskenschicht zu übertragen.
  16. Verfahren nach Anspruch 1, wobei eine zweite Dornlinie über der Hartmaskenschicht gebildet wird, die zweite Dornlinie von der ersten Dornlinie durch eine Nicht-Dornlinie getrennt ist, die einen Streifen der Hartmaskenschicht freilegt, und ferner umfassend: vor dem Bilden der ersten Blockmaske ein Bilden eines Nicht-Dorns, der in den Streifen der Hartmaskenschicht geschnitten ist.
  17. Verfahren nach Anspruch 16, wobei das Bilden des nicht-dornförmigen Einschnitts in dem Streifen der Hartmaskenschicht umfasst: ein Bilden einer zweiten Blockmaske über einem ersten Abschnitt des Streifens der Hartmaskenschicht an einer Stelle für den Nicht-Dorn-Schnitt.
  18. Verfahren nach Anspruch 17, wobei der erste Abschnitt des Streifens der Hartmaskenschicht linear zwischen jeweiligen zweiten Abschnitten des Streifens der Hartmaskenschicht angeordnet ist, und ferner umfassend: nach dem Bilden der zweiten Blockmaske ein Entfernen der zweiten Teile des Streifens der Hartmaskenschicht mit einem zweiten Ätzprozess, um einen ersten Graben und einen zweiten Graben zu bilden, wobei der erste Abschnitt der Hartmaskenschicht während des zweiten Ätzprozesses von der zweiten Blockmaske bedeckt wird und den Nicht-Dorn-Schnitt definiert.
  19. Verfahren nach Anspruch 18, ferner umfassend: ein Bilden einer Opferhartmaske über der zweiten Blockmaske, wobei die Opferhartmaske eine Ätzselektivität für den zweiten Ätzprozess aufweist, die größer ist als eine Ätzselektivität der zweiten Blockmaske für den zweiten Ätzprozess.
  20. Verfahren nach Anspruch 1, ferner umfassend: ein Bilden von Seitenwandabstandshaltern auf der ersten Dornlinie, bevor der Dornschnitt gebildet wird.
DE102019203596.5A 2018-04-17 2019-03-18 Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden Active DE102019203596B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/954,736 2018-04-17
US15/954,736 US10395926B1 (en) 2018-04-17 2018-04-17 Multiple patterning with mandrel cuts formed using a block mask

Publications (2)

Publication Number Publication Date
DE102019203596A1 true DE102019203596A1 (de) 2019-10-17
DE102019203596B4 DE102019203596B4 (de) 2024-05-02

Family

ID=67700644

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019203596.5A Active DE102019203596B4 (de) 2018-04-17 2019-03-18 Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden

Country Status (3)

Country Link
US (1) US10395926B1 (de)
DE (1) DE102019203596B4 (de)
TW (1) TWI734970B (de)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11417525B2 (en) * 2018-10-08 2022-08-16 Globalfoundries U.S. Inc. Multiple patterning with mandrel cuts defined by block masks
US11355342B2 (en) * 2019-06-13 2022-06-07 Nanya Technology Corporation Semiconductor device with reduced critical dimensions and method of manufacturing the same
EP3840034B1 (de) * 2019-12-19 2022-06-15 Imec VZW Verfahren zur herstellung von nanoskalierten leiterbahnen für halbleiterbauelemente
KR20220127417A (ko) 2021-03-10 2022-09-20 삼성전자주식회사 반도체 장치의 제조 방법 및 이에 의해 제조된 반도체 장치
CN116504610B (zh) * 2023-06-21 2023-11-17 长鑫存储技术有限公司 掩模结构、图形形成方法及半导体结构的制备方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8586478B2 (en) 2011-03-28 2013-11-19 Renesas Electronics Corporation Method of making a semiconductor device
US8850369B2 (en) 2012-04-20 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Metal cut process flow
US8658486B2 (en) * 2012-05-23 2014-02-25 International Business Machines Corporation Forming facet-less epitaxy with a cut mask
US8969163B2 (en) * 2012-07-24 2015-03-03 International Business Machines Corporation Forming facet-less epitaxy with self-aligned isolation
JP6127422B2 (ja) 2012-09-25 2017-05-17 セイコーエプソン株式会社 音声認識装置及び方法、並びに、半導体集積回路装置
US9177797B2 (en) 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9041217B1 (en) * 2013-12-18 2015-05-26 Intel Corporation Self-aligned via patterning with multi-colored photobuckets for back end of line (BEOL) interconnects
US9551923B2 (en) 2014-04-08 2017-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. Cut mask design layers to provide compact cell height
US9818611B2 (en) * 2015-09-24 2017-11-14 Tokyo Electron Limited Methods of forming etch masks for sub-resolution substrate patterning
US9691775B1 (en) * 2016-04-28 2017-06-27 Globalfoundries Inc. Combined SADP fins for semiconductor devices and methods of making the same
US9852946B1 (en) * 2016-06-08 2017-12-26 International Business Machines Corporation Self aligned conductive lines
US9786545B1 (en) 2016-09-21 2017-10-10 Globalfoundries Inc. Method of forming ANA regions in an integrated circuit
US10002797B1 (en) * 2017-01-31 2018-06-19 Globalfoundries Inc. Chip integration including vertical field-effect transistors and bipolar junction transistors
US9905424B1 (en) * 2017-04-24 2018-02-27 Globalfoundries Inc. Self-aligned non-mandrel cut formation for tone inversion

Also Published As

Publication number Publication date
US10395926B1 (en) 2019-08-27
TWI734970B (zh) 2021-08-01
DE102019203596B4 (de) 2024-05-02
TW201946155A (zh) 2019-12-01

Similar Documents

Publication Publication Date Title
DE102019203596B4 (de) Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE102016123943A1 (de) Halbleiterverfahren und -vorrichtungen
DE102019200054B4 (de) Verfahren zum Strukturieren von Metallisierungsleitungen mit variabler Breite
DE102018115204A1 (de) Strukturierungsverfahren für halbleiter-bauelemente und daraus resultierende strukturen
DE102005063092B3 (de) Halbleiterbauelement mit einer Kontaktstruktur mit erhöhter Ätzselektivität
DE102017117937A1 (de) Aufgeteilte Schienenstrukturen, die sich in angrenzenden Metallschichten befinden
DE19634125A1 (de) Verfahren zum Herstellen von Verbindungsschichten in einer Halbleiterkomponente
DE102013103976B4 (de) Halbleiterbauelement mit selbstausgerichteten Verbindungen und Sperrabschnitten
DE102011085203B4 (de) Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten
DE102018203792B4 (de) Nicht-Dorn-Schnittbildung
DE102019203224B4 (de) Selbstausgerichtete Mehrfachstrukturierungsprozesse mit geschichteten Dornen
DE102018208546A1 (de) Strukturen aus dem mittleren bereich der fertigungslinie
DE102018205693B4 (de) Verfahren zum bilden von selbstausgerichteten schnitten
DE102019205284A1 (de) Zwischenverbindungen mit Dornschnitten mit variablem Raum gebildet durch Blockstrukturierung
DE19919939B4 (de) Verfahren zur Bildung von elektrisch leitenden Leitungen in integrierten Speicherschaltungen unter Verwendung von selbstjustierenden Silicid-Sperrschichten
DE112016006630T5 (de) Verfahren zum Herstellen einer Halbleitereinrichtung
DE102020119491A1 (de) Halbleitervorrichtungen
DE102020115239A1 (de) Vertikale Speichervorrichtung
DE112012002648T5 (de) Lokale Zwischenverbindung mit einem niedrigen Profil und Verfahren zum Herstellen derselben
DE10046915A1 (de) Halbleitervorrichtung und Verfahren zum Herstellen derselben
DE102005042732A1 (de) Verfahren zur Ätzstoppschichtbildung, Halbleiterbauelement und Herstellungsverfahren
DE102019100014B4 (de) Verfahren zum Strukturieren von dielektrischen Schichten für eine Metallisierung und entsprechende Strukturen
DE102018211683A1 (de) Metallisierungsebenen und verfahren zur herstellung davon
DE102017127390B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division