DE102019100014A1 - Verfahren zum Strukturieren von dielektrischen Schichten für eine Metallisierung und entsprechende Strukturen - Google Patents

Verfahren zum Strukturieren von dielektrischen Schichten für eine Metallisierung und entsprechende Strukturen Download PDF

Info

Publication number
DE102019100014A1
DE102019100014A1 DE102019100014.9A DE102019100014A DE102019100014A1 DE 102019100014 A1 DE102019100014 A1 DE 102019100014A1 DE 102019100014 A DE102019100014 A DE 102019100014A DE 102019100014 A1 DE102019100014 A1 DE 102019100014A1
Authority
DE
Germany
Prior art keywords
layer
spacer
patterning
metal
conductive via
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102019100014.9A
Other languages
English (en)
Other versions
DE102019100014B4 (de
Inventor
Guillaume Bouche
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Publication of DE102019100014A1 publication Critical patent/DE102019100014A1/de
Application granted granted Critical
Publication of DE102019100014B4 publication Critical patent/DE102019100014B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Strukturen, umfassend Metallisierungsschichten und Metallleitungen, und Verfahren zum Bilden davon. Ein Strukturierungsstapel, eine Maskenschicht und eine Abstandshalterstrukturierungsschicht werden über einer dielektrischen Schicht gebildet. In der Abstandshalterstrukturierungsschicht wird eine Öffnung gebildet. Auf einem Abschnitt der Maskenschicht werden erste und zweite Abstandshalter an den Seitenwänden einer Öffnung in der Abstandshalterstrukturierungsschicht gebildet. Der erste Abstandshalter und der zweite Abstandshalter überlagern erste Abschnitte der Blindleitung und liegen dazu quer. Nach Entfernung der Abstandshalterstrukturierungsschicht und der Maskenschicht werden zweite Abschnitte der Blindleitung entfernt, um ein Merkmal in dem Strukturierungsstapel zu bilden, der einen ersten Spalt unterhalb des ersten Abstandshalters und einen zweiten Spalt unterhalb des zweiten Abstandshalters umfasst. In der dielektrischen Schicht wird unter Verwendung des Merkmals eine Metallleitung gebildet und die Metallleitung umfasst Schnitte an dem ersten Spalt und dem zweiten Spalt in dem Merkmal.

Description

  • Hintergrund
  • Die vorliegende Erfindung betrifft die Fertigung von Halbleiterbauvorrichtungen und integrierter Schaltungen und insbesondere Verfahren zum Strukturieren von dielektrischen Schichten für die Bildung von Metallleitungen und die Fertigung von Metallisierungsschichten von integrierten Schaltungen.
  • In integrierten Schaltungen erlauben Metallisierungsschichten, dass Schichten von integrierten Schaltungen mit externen Vorrichtungen elektrisch verbunden werden. Mit der kleiner werdenden Größe werden neue Verfahren zum Strukturieren von Metallisierungsschichten und zum Bilden von Metallisierungsleitungen kontinuierlich weiterentwickelt, um Begrenzungen von bestehenden Fertigungsgeräten auszuräumen, so dass Designanforderungen für neuere und sogar kleinere Metallleitungsmerkmale eingehalten werden.
  • Zusammenfassung
  • In einer Ausführungsform der Erfindung umfasst ein Verfahren ein Bilden eines Strukturierungsstapels über einer dielektrischen Schicht, ein Bilden einer Maskierungsschicht über dem Strukturierungsstapel und einer Abstandshalterstrukturierungsschicht über der Maskenschicht und ein Ätzen einer Öffnung in die Abstandshalterstrukturierungsschicht, um einen Abschnitt der Maskenschicht freizulegen, der eine Blindleitung über dem Strukturierungsstapel überlagert. Das Verfahren umfasst ferner ein Bilden eines ersten Abstandshalters und eines zweiten Abstandshalters auf dem freiliegenden Abschnitt der Maskenschicht an Seitenwänden der Öffnung. Der erste Abstandshalter und der zweite Abstandshalter überlagern sich und liegen quer zu entsprechenden Abschnitten der Blindleitung. Das Verfahren umfasst ferner ein bezüglich des Strukturierungsstapel, des ersten Abstandshalters und des zweiten Abstandshalters selektives Entfernen der Abstandshalterstrukturierungsschicht und der Maskenschicht, um zweite Abschnitte der Blindleitung freizulegen, und ein bezüglich dem ersten Abstandshalter und dem zweiten Abstandshalter selektives Entfernen der zweiten Abschnitte der Blindleitung, um ein Merkmal in dem Strukturierungsstapel zu bilden, der eine erste Lücke unterhalb des ersten Abstandshalters und eine zweite Lücke unterhalb des zweiten Abstandshalters umfasst. In der dielektrischen Schicht wird eine Metallleitung unter Verwendung des Merkmals in dem Strukturierungsstapel gebildet. Die Metallleitung umfasst entsprechende Schnitte an der ersten Lücke und der zweiten Lücke in dem Merkmal in dem Strukturierungsstapel.
  • In einer anderen Ausführungsform der Erfindung umfasst eine Struktur eine erste Metallisierungsschicht mit einer Mehrzahl von ersten Metallleitungen und eine erste Metallinsel, eine zweite Metallisierungsschicht mit einer zweiten Metallleitung, die unterhalb der ersten Metallisierungsschicht angeordnet ist, und eine dritte Metallisierungsschicht mit einer dritten Metallleitung, die über der ersten Metallisierungsschicht angeordnet ist. Eine erste leitfähige Via verbindet die Metallinsel mit der zweiten Metallleitung und eine zweite leitfähige Via verbindet die Metallinsel mit der dritten Metallleitung. Die erste leitfähige Via, die zweite leitfähige Via und die Metallinsel stellen eine elektrische Leitfähigkeit zwischen der zweiten Metallleitung und der dritten Metallleitung bereit.
  • Figurenliste
  • Die beiliegenden Zeichnungen, die dieser Beschreibung beiliegen und einen Teil dieser Beschreibung darstellen, stellen verschiedene Ausführungsformen der Erfindung dar. Zusammen mit einer allgemeinen Beschreibung der Erfindung oben und der detaillierten Beschreibung der Ausführungsformen unten dienen sie zur Erläuterung der Ausführungsformen der Erfindung.
    • 1-10 sind Querschnittsansichten einer Struktur an sukzessiven Fertigungsphasen eines Bearbeitungsverfahrens gemäß Ausführungsformen der Erfindung.
    • 1A ist eine Aufsicht der Struktur, in der 1 im Allgemeinen entlang der Linie 1-1 genommen ist.
    • 3A ist eine Aufsicht der Struktur, in der 3 im Allgemeinen entlang der Linie 3-3 genommen ist.
    • 6A ist eine Aufsicht der Struktur, in der 6 im Allgemeinen entlang der Linie 6-6 genommen wurde.
    • 7A ist eine Aufsicht der Struktur, in der 7 entlang der Linie 7-7 allgemein genommen wurde.
    • 8A ist eine Aufsicht der Struktur, in der 8 im Allgemeinen entlang der Linie 8-8 genommen wurde.
    • 9A ist eine Aufsicht der Struktur, in der 9 im Allgemeinen entlang der Linie 9-9 genommen wurde.
    • 10A ist eine Aufsicht der Struktur, in der 10 im Allgemeinen entlang der Linie 10-10 genommen wurde.
    • 11 ist eine Querschnittsansicht einer Struktur in einer alternativen Fertigungsphase, folgend an 6 eines Bearbeitungsverfahrens gemäß Ausführungsformen der Erfindung.
    • 11A ist eine Aufsichtsansicht der Struktur, in der 11 entlang der Linie 11-11 im Allgemeinen genommen wurde.
    • 12 und 13 sind Querschnittsansichten der Struktur aus 1-10 in weiteren Fertigungsphasen eines Bearbeitungsverfahrens gemäß Ausführungsformen der Erfindung.
  • Detaillierte Beschreibung
  • Mit Bezug auf die 1 und 1A und gemäß Ausführungsformen der Erfindung umfasst eine Struktur 100 wenigstens eine untere Schaltungsstrukturschicht 105, eine dielektrische Schicht 110 und einen Strukturierungsstapel 120, der eine Hartmaskenschicht 122, eine dielektrische Schicht 124 und Blindleitungen 125, 126 umfasst. Die Blindleitungen 125, 126 können durch einen Lithographie- und Ätzprozess von einer abgeschiedenen Schicht aus dessen Material gebildet werden. Die Blindleitungen 125, 126, die aus einem Opfermaterial gebildet sein können, zum Beispiel amorphem Silizium (a-Si), sind in die dielektrische Schicht 124 eingebettet. Die Blindleitungen 126 sind mit großen Zwischenspitzenabständen strukturiert.
  • Die dielektrische Schicht 124 kann zum Beispiel aus Siliziumdioxid gebildet sein, das durch eine plasmaunterstützte chemische Gasphasenabscheidung (PECVD) auf der Hartmaskenschicht 122 und über den Blindleitungen 125, 126 abgeschieden und dann poliert wird, um die Blindleitungen 125, 126 an der oberseitigen Oberfläche der dielektrischen Schicht 124 freizulegen Die Hartmaskenschicht 122 kann zum Beispiel aus Titannitrid, Titanoxid oder einem anderen Hartmaskenmaterial gebildet sein. Die dielektrische Schicht 110 kann aus einem dielektrischen Material gebildet sein, zum Beispiel einem Oxid von Silizium oder einem Nitrid von Silizium, in das Metallisierungsleitungen unter Verwendung des Strukturierungsstapels 120 zu strukturieren sind, wie in dem Teil unten beschrieben wird.
  • Mit Bezug auf 2, in der ähnliche Bezugszeichen ähnliche Merkmale in den 1 und 1A bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens werden eine Maskenschicht 130 und eine Abstandshalterstrukturierungsschicht 135 über dem Strukturierungsstapel 120 gebildet. Die Maskenschicht 130 kann aus einem dielektrischen Material gebildet sein, zum Beispiel einem dielektrischen Material, basierend auf einem Nitrid, und eine Abstandshalterstrukturierungsschicht 135 kann aus einem verschiedenen dielektrischen Material der Maskenschicht 130 gebildet sein, zum Beispiel einem auf einem Oxid basierenden dielektrischen Material, so dass die Maskenschicht 130 und die Abstandshalterstrukturierungsschicht 135 unterschiedliche Ätzselektivitätseigenschaften aufweisen. Zum Beispiel kann die Maskenschicht 130 aus Siliziumnitrid gebildet sein und die Abstandshalterstrukturierungsschicht 135 kann aus Siliziumdioxid gebildet sein. Die Abstandshalterstrukturierungsschicht 135 kann mit einer gewünschten Dicke T1 gebildet sein, die zum Teil die Bildung von Abstandshaltern vereinfachen, wie weiter unten beschrieben ist. Die Maskenschicht 130 kann eine Dicke T2 aufweisen, die kleiner ist als die Dicke T1 der Abstandshalterstrukturierungsschicht 135. Die Dicke T1 der Abstandshalterstrukturierungsschicht 135 kann, wie unten beschrieben, teilweise eine Höhe der Abstandshalter 151 und 152 festlegen, die auf Seitenwänden einer Öffnung 137 (4) gebildet sind, welche in der Abstandshalterstrukturierungsschicht 135 strukturiert ist.
  • Mit Bezug auf die 3 und 3A, in denen ähnliche Bezugszeichen ähnliche Elemente wie in 2 bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens werden ein Lithographiestapel 140 und eine Lackschicht 144 über der Abstandshalterstrukturierungsschicht 135 gebildet. Der Lithographiestapel 140 kann eine organische Spin-On-Hartmasken (SOH)-Schicht, ein Siliziumoxinitrid (SiON)-Hartmaskenschicht und eine antireflektierende Beschichtungsschicht umfassen. Die Lackschicht 144 wird strukturiert, um eine Öffnung 145 oder mehrere Öffnungen zu bilden, wie unten beschrieben ist, die über den Abschnitten der Blindleitung 125 ausgerichtet sind, die ausgelegt ist, so dass sie geschnitten wird, um kleine Zwischenspitzenabstände bereitzustellen. Die Öffnung 145 legt einen Abschnitt einer Abstandshalterstrukturierungsschicht 135 frei, die zu ätzen und zu entfernen ist, wie unten beschrieben wird.
  • Mit Bezug auf 4, in der ähnliche Bezugszeichen ähnliche Merkmale in den 3 und 3A bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird eine Öffnung 137 in der Abstandshalterstrukturierungsschicht 135 geätzt. Die Öffnung 137 kann zum Beispiel durch einen reaktiven lonenätz (RIE)-Prozess gebildet werden. Die Öffnung 137 kann eine Breitendimension W aufweisen, die ungefähr gleich bis zwei Mal einer ausgewählten Dicke T3 einer konformen Schicht 150 ist, die über der Abstandhalterstrukturierungsschicht 135 zu bilden ist, plus einer Länge L entspricht, die einen Abstandhalter 151 von einem Abstandshalter 152 (der aus der konformem Schicht 150 gebildet ist) trennt, wie in 5 gezeigt ist. Zum Beispiel kann eine ausgewählte Dicke T3 der konformen Schicht 150 ungefähr 5 nm betragen und die Länge L, die die Abstandshalter 151 und 152 trennt, kann ungefähr 15 nm betragen, so dass eine Breite W der Öffnung 137 ungefähr 25 nm betragen kann. Das Ätzen kann ein selektiver Ätzprozess sein, der zum Entfernen des freiliegenden Abschnitts der Abstandshalterstrukturierungsschicht 135 gesteuert wird, und um das Material der Maskierschicht 130 zu beenden. Die Öffnung 137 legt einen Abschnitt der Maskierschicht 130 frei, der ein Abschnitt der Blindleitung 125 überlagert. Gemäß der Verwendung hierin bezieht sich der Begriff „selektiv“ auf einen Materialentfernungsprozess (zum Beispiel Ätzen), der bezeichnet, dass mit dem größeren Zielmaterial bei einer geeigneten Wahl des Ätzmittels die Materialentfernungsrate (insbesondere Ätzrate) größer ist als die Entfernungsrate für wenigstens ein anderes Material, das dem Materialentfernungsprozess ausgesetzt ist.
  • Die Öffnung 137, die eine einzelne Öffnung von relativ großen Dimensionen in der Abstandshalterstrukturierungsschicht 135 ist, kann verwendet werden, um mehrere geschlossen beabstandete Schnitte zu bilden, wie nachfolgend beschrieben wird, im Gegensatz zu bekannten Techniken, die mehrere „Farben“ oder Stufen der Strukturierung umfassen, um eng beabstandete Schnitte aus kleinen relativen Dimensionen zu bilden. Zusätzlich kann die Beabstandung zwischen benachbarten bekannten Schnitten aufgrund des Lithographie-Auflösungsgrenzwerts beschränkt sein, der durch die Verwendung der Abstandshalter verschlechtert wird, wie unten beschrieben ist.
  • Mit Bezug auf 5, in der ähnliche Bezugszeichen ähnliche Merkmale in 4 bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird eine konforme Schicht 150 auf der Abstandshalterstrukturierungsschicht 135 und über den Seitenwänden und der Basis der Öffnung 137 in der Abstandshalterstrukturierungsschicht 135 abgeschieden. Die Abschnitte der konformen Schicht 150, die auf Seitenwänden der Öffnung 137 abgeschieden werden, legen einen Abstandshalter 151 und einen Abstandshalter 152 fest. Gemäß der Darstellung und Beschreibung unten in 6A können der Abstandshalter 151 und der Abstandshalter 152 unter einer Mehrzahl von Abstandshaltern vorgesehen sein, die angeordnet sind, um einen „Abstandshalterring“ 155 auf den Seitenwänden der Öffnung 137 zu bilden. Wie auch unten beschrieben und in 6A dargestellt ist, überlagern ein Abstandshalter 151 und der Abstandshalter 152 die Blindleitung 125 und liegen quer dazu. Die Dicke T1 der Abstandshalterstrukturierungsschicht 135 kann wenigstens teilweise eine Höhe der Abstandshalter 151 und 152 festlegen, die auf Seitenwänden der Öffnung 137 der Abstandshalterstrukturierungsschicht 135 gebildet sind. Die Dicke T3 der konformen Schicht 150 kann ausgewählt sein, um eine Dicke T4 der Abstandshalter 151 und 152 zu bestimmen, und kann ferner wenigstens teilweise eine Länge L festlegen, die den Abstandshalter 151 und den Abstandshalter 152 trennt. Die Dicke T4 des Abstandshalters 151 und des Abstandshalters 152 kann auch eine Größe von Lücken festlegen, die Enden von Metallleitungen trennen, die in der dielektrischen Schicht 110 gebildet sind, wie ferner unten beschrieben ist. Zum Beispiel kann eine konforme Schicht 150 mit einer Dicke T3 von 5 nm Abstandshalter 151, 152 mit Dicken T4 von 5 nm bilden, so dass Lücken, die zwischen den Enden der Metallleitungen in der dielektrischen Schicht 110 gebildet sind auch 5 nm betragen. Die Länge L, die den Abstandshalter 151 trennt und der Abstandshalter 152, der 15 nm oder weniger beträgt, so dass eine resultierende Metallinsel 165, die in der dielektrischen Schicht 110 gebildet ist, gemäß der Beschreibung ferner eine Länge Lm von 15 nm oder weniger betragen kann. Die konforme Schicht 150 und bei Erweiterung die Abstandshalter 151 und 152 und die Maskenschicht 130 können aus dem gleichen Material gebildet sein, zum Beispiel einem nitridbasierten dielektrischen Material, zum Beispiel Siliziumnitrid.
  • Mit Bezug auf die 6 und 6A, in denen ähnliche Bezugszeichen ähnliche Elemente in 5 bezeichnen und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens wird eine konforme Schicht 150 über der Abstandshalterstrukturierungsschicht 135 entfernt, wobei ein Abstandshalterring 155 verbleibt, einschließlich des Abstandshalters 151 und des Abstandshalters 152, der über dem freiliegenden Abschnitt der Maskenschicht 130 angeordnet ist. Die konforme Schicht 150 kann zum Beispiel durch einen selektiven anisotropen Ätzprozess entfernt werden, zum Beispiel einen reaktiven lonenätz (RIE)-Prozess, der gesteuert ist, um zu enden, wenn die Abstandshalterstrukturierungsschicht 135 durch das Ätzen freigelegt wird. Ein gesteuerter anisotroper Ätzprozess erlaubt ein Entfernen der konformen Schicht 150 unter einem minimalen Ätzen der Abstandshalter 151, 152. Wie 6A darstellt, kann die konforme Schicht 150, die auf Seitenwänden der Öffnung 137 in der Abstandshalterstrukturierungsschicht 135 abgeschieden ist, den Abstandshalterring 155 oder eine ringartige Abstandshalterformierung bilden, einschließlich des Abstandshalters 151 und des Abstandshalters 152, die die Blindleitung 125 überlagern und quer dazu liegen.
  • Mit Bezug auf die 7 und 7A, in denen ähnliche Bezugszeichen ähnliche Merkmale in den 6 und 6A bezeichnen und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens werden die Abstandshalterstrukturierungsschicht 135 und die Maskenschicht 130 entfernt, was Abstandshalter 151 und 152 verbleiben lässt, die über unterschiedlichen Abschnitten der Blindleitung 125 angeordnet sind. Wie die 7 und 7A zeigen, überlagern der Abstandshalter 151 und der Abstandshalter 152 die Blindleitung 125 in einer beabstandeten Weise und liegen quer dazu. Die Abstandshalterstrukturierungsschicht 135 kann durch einen selektiven Ätzprozess entfernt werden, der das Material der Abstandshalterstrukturierungsschicht 135 ohne Ätzen von entweder der Maskenschicht 130 oder der Abstandshalter 151, 152 entfernt. Die Maskenschicht 130 kann durch einen selektiven Ätzprozess geätzt werden, der gesteuert wird, um die Maskenschicht 130 zu entfernen, ohne den Abstandshalter 151 und den Abstandshalter 152 vollständig zu ätzen oder zu entfernen, wie zum Beispiel ein reaktiver lonenätz (RIE)-Prozess, der gesteuert wird, so dass er endet, wenn die dielektrische Schicht 124 des Strukturierungsstapels 120 durch den Ätzprozess freigelegt wird.
  • Mit Bezug auf die 8 und 8A, in denen ähnliche Bezugszeichen ähnliche Merkmale in den 7 und 7A bezeichnen und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens werden Blindleitungen 125 und 126 entfernt, um darunterliegende Abschnitte der Hartmaskenschicht 122 freizulegen. Die Abstandshalter 151 und 152 schützen darunterliegende Abschnitte 127, 128 der Blindleitung 125 vor einer Entfernung, so dass in nachfolgenden Fertigungsphasen, wie unten beschrieben ist, die Abschnitte der Hartmaskenschicht 122, die die verbleibenden Abschnitte 127, 128 der Blindleitung 125 überlagern, ungeätzt bleiben und „Schnitte“ oder Lücken in einer finalen Metallisierungsleitung bilden, die in der dielektrischen Schicht 110 zu bilden ist.
  • Mit Bezug auf 9 und 9A, in denen ähnliche Bezugszeichen ähnliche Elemente in den 8 und 8A bezeichnen und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens werden die freiliegenden Abschnitte der Hartmaskenschicht 122 geätzt, um Gräben in der Hartmaskenschicht 122 zu bilden und Abschnitte der dielektrischen Schicht 110 freizulegen. Verbleibende Abschnitte der Abstandshalter 151 und 152 werden auch entfernt. Das Material der Abstandshalter 151 und 152 sowie der Abstandshalter des Abstandshalterrings 155 können zum Beispiel durch einen selektiven Ätzprozess entfernt werden. Die dielektrische Schicht 124 kann durch separate selektive Ätzprozesse entfernt werden, wie in 9 dargestellt ist. Alternativ kann die dielektrische Schicht 124 zum Ätzen während eines nachfolgenden Ätzens des Dielektrikums 110 verbleiben, die in 10 dargestellt und ferner unten beschrieben ist. Verbleibende Abschnitte 127, 128 der Blindleitungen 125 stellen die darunterliegenden Abschnitte 122a, 122b der Hartmaskenschicht 122 dar und legen Spalte in den Gräben fest, die in der Hartmaskenschicht 122 gebildet werden. Blindleitungen 125, 126 können zum Beispiel durch einen selektiven anisotropen Ätzprozess entfernt werden, wie zum Beispiel einen reaktiven lonenätz (RIE)-Prozess.
  • Mit Bezug auf die 10 und 10A, in denen ähnliche Bezugszeichen ähnliche Merkmale in den 9 und 9A bezeichnen, und in einer nachfolgenden Fertigungsphase des Bearbeitungsverfahrens werden Metallisierungsgräben in der dielektrischen Schicht 110 unter Verwendung der Gräben gebildet, die in die Hartmaskenschicht 122 geätzt sind. Die Gräben werden mit einem leitfähigen Material gefüllt, um Metallleitungen 160, 161 zu bilden. Verbleibende Abschnitte der Hartmaskenschicht 122 werden entfernt, die die dielektrische Schicht 110 freilegen. Die Metallleitung 165, die sich aus den Schnitten oder Lücken ergibt, die durch die Abstandshalter 151 und 152 festgelegt werden, wie oben beschrieben ist, können als eine „Metallinsel“ angesehen werden, die von der umgebenden Metallleitungen 160 elektrisch isoliert ist. Die Metallinsel 165 kann eine Länge Lm von 15 nm oder weniger aufweisen. Das leitfähige Material kann ein beliebiges leitfähiges Material sein, zum Beispiel Kobalt oder Ruthenium oder Kupfer oder ein anderes leitfähiges Material, das zur Bildung von Metallleitungen in einer Schaltungsstruktur verwendet wird. Kobalt kann ein bevorzugtes leitfähiges Material zum Bilden von Metallleitungen 160, 161 und einer Metallinsel 165 sein, da Kobalt verwendet werden kann, um sehr kurze Metallleitungen zu bilden, wie zum Beispiel Metallinseln von 15 nm oder weniger, wohingegen Kupfermetallleitungen im Allgemeinen eine kleinste Länge aufweisen müssen, die größer ist als 15 nm aufgrund der Materialeigenschaften von Kupfer und aufgrund von Zuverlässigkeitsproblemen während des Service und einer Verwendung einer integrierten Schaltungsstruktur.
  • Mit Bezug auf die 11 und 11A, in denen ähnliche Bezugszeichen ähnliche Merkmale in den 7 und 7A in einer weiteren Ausführungsform des Bearbeitungsverfahrens umfassen, können mehrere Mengen von Abstandshaltern 156, 157, 158 über der Blindleitung 125 gebildet werden, um mehrere Mengen von Schnitten oder Spalten in der Blindleitung 125 und nachfolgend in Metallleitungen festzulegen, die in der dielektrischen Schicht gebildet sind. Die mehreren Mengen von Abstandshaltern 156, 157, 158 können durch einen Lithographieätzprozess gebildet werden, wie zum Teil oben beschrieben ist, in dem mehrere Öffnungen in die Abstandshalterstrukturierungsschicht 135 und in die konforme Schicht 150 geätzt werden, die nachfolgend über der Abstandshalterstrukturierungsschicht 135 abgeschieden wird, die mehrere Abstandshalter 156, 157, 158 bildet. In Ausführungsformen, in denen eine gerade Anzahl von Schnitten oder Spalten in der Blindleitung 125 erforderlich ist, können mehrere breitere Öffnungen, ähnlich der Öffnung 137 in der Abstandshalterstrukturierungsschicht 135, die in den 4-5 dargestellt ist, in der Abstandshalterstrukturierungsschicht 135 gebildet werden, die in der Bildung von mehreren Abstandshalterringen oder ringartigen Abstandshaltern ähnlich des einzelnen Abstandshalterrings resultieren, der in den 5-6A dargestellt ist. In Ausführungsformen, in denen eine ungerade Anzahl von Schnitten oder Spalten in der Blindleitung 125 erforderlich ist, können mehrere breitere Öffnungen ähnlich der Öffnung 137 in der Abstandshalterstrukturierungsschicht 135, die in den 4 bis 5 dargestellt ist, in der Abstandshalterstrukturierungsschicht 135 gebildet werden, die in der Bildung von mehreren Abstandshalterringen resultiert. Eine zusätzliche enge Öffnung kann in der Abstandshalterstrukturierungsschicht 135 gebildet werden, die zu Abstandshaltern 158 führt. Die zusätzliche enge Öffnung in der Abstandshalterstrukturierungsschicht 135 kann eine Breitendimension WN aufweisen, die kleiner ist als zwei Mal der Dicke T3 der konformen Schicht 150, die über der Abstandshalterstrukturierungsschicht 135 gebildet ist, wobei sich Abstandshalter 158 ergeben, die sich in einem einzelnen Abstandshalterblock verbinden, der über der Blindleitung 125 angeordnet ist. Der einzelne Abstandshalterblock, der über den Abstandshaltern 158 gebildet ist, bildet dann einen einzelnen Schnitt oder Spalt in der Blindleitung 125.
  • Mit Bezug auf die 12 und 13, in denen ähnliche Bezugszeichen ähnliche Merkmale in den 1 bis 10A bezeichnen, und in einer weiteren Ausführungsform des Bearbeitungsverfahrens können die dielektrische Schicht 110, einschließlich der Metallleitungen 160, 161, und die Metallinsel 165 eine Metallisierungsschicht in einer Schaltungsstruktur 100a sein und können über einer unteren Metallisierungsschicht 170 mit einer Mehrzahl von Metallleitungen 171 und unter einer oberen Metallisierungsschicht 175 mit einer Mehrzahl von Metallleitungen 176 angeordnet sein. Gemäß der Darstellung in 12 kann eine untere Metallisierungsschicht 70 als erstes gebildet werden und eine Via 182, die gebildet ist, um sich mit einer Metallleitung 171 in einer unteren Metallisierungsschicht 170 zu verbinden. Die dielektrische Schicht 110 kann dann gebildet werden, wie oben beschrieben ist, mit einer Metallinsel 165, die zu der Via 182 ausgerichtet ist, und mit der Metallleitung 171 verbunden ist. Die leitfähige Via 182 kann zum Beispiel durch Ätzen eines Lochs durch das dielektrische Material der dielektrischen Schicht 110 vor Bildung der Metallleitungen 160, 161 und der Metallinsel 165 gebildet werden und nachfolgend kann die Öffnung mit einem leitfähigen Material gefüllt werden, wie zum Beispiel Kobalt, Ruthenium oder Kupfer. Die leitfähige Via 182 kann zusammen mit Metallleitungen 160, 161 und der Metallinsel 165 in dem gleichen Bearbeitungsschritt gefüllt werden oder kann vor Bildung der Metallleitungen 160, 161 und der Metallinsel 165 gefüllt werden, wie oben beschrieben ist. Gemäß der Darstellung in 13 kann eine andere leitfähige Via 184 dann gebildet werden, die die Metallinsel 165 verbindet. Die obere Metallisierungsschicht 175 kann dann über der dielektrischen Schicht 110 mit einer Metallleitung 176 in der oberen Metallisierungsschicht 175 gebildet werden, die zu der anderen leitfähigen Via 184 ausgerichtet und damit verbunden ist. Die leitfähige Via 184 kann durch Bearbeitungen gebildet werden, die zum Bilden der Via 182 ähnliche sind. Die Schaltungsstruktur 100a, die entsprechend gebildet wird, weist eine Zwischenverbindung zwischen der oberen Metallisierungsschicht 175 und der unteren Metallisierungsschicht 170 auf, wobei die dielektrische Schicht 110 die obere Metallisierungsschicht 175 und die untere Metallisierungsschicht 170 trennt Die leitfähigen Vias 182 und 184 zusammen mit der Metallinsel 165, die in der dielektrischen Schicht 110 gebildet ist, bilden eine erweiterte Via oder eine „Super-Via“, die eine Verbindung zwischen Metallleitungen von nicht benachbarten Metallisierungsebenen in der Schaltungsstruktur 100a zulässt. Die Metallinsel 165, die gemäß der Beschreibung hierin gebildet ist, ermöglicht eine effiziente leitfähige Verbindung zwischen leitfähigen Vias 182 und 184, da die Metallinsel 165 gebildet werden kann, um eine Größe aufzuweisen, die im Wesentlichen gleich einer Größe der leitfähigen Vias 182 und 184 ist, um Flächenverluste zu minimieren.
  • Die oben beschriebenen Verfahren werden in der Fertigung von integrierten Schaltungs-Chips verwendet. Die sich ergebenden integrierten Schaltungs-Chips können durch den Hersteller in der Form von rohen Wafern (zum Beispiel als ein einzelner Wafer mit mehreren nicht gehausten Chips), als ein reines Die oder in einer eingehausten Form vertrieben werden. Im letzteren Fall ist der Chip in einem Einzel-Chip-Gehäuse (zum Beispiel in einem Plastikträger mit Leitungen, die an einem Motherboard oder einem anderen Träger höherer Ordnung angebracht sind) oder in einem Mehr-Chip-Gehäuse (zum Beispiel einem Keramikträger mit Oberflächenverbindungen und/oder vergrabenen Verbindungen) montiert sein. In jedem Fall kann der Chip mit anderen Chips, diskreten Schaltungselementen und/oder anderen signalverarbeitenden Vorrichtungen als Teil von entweder einem Zwischenprodukt oder einem Endprodukt integriert werden.
  • Bezugnahmen hierin auf Begriffe, wie zum Beispiel „vertikal“, „horizontal“, „lateral“ usw. erfolgen beispielhaft und nicht beschränkend, um einen Bezugsrahmen auszubilden. Begriffe, wie zum Beispiel „horizontal“ und „lateral“ beziehen sich auf eine Richtung in einer Ebene parallel zu einer oberseitigen Oberfläche eines Halbleitersubstrats, unabhängig von dessen tatsächlicher dreidimensionaler räumlicher Orientierung. Begriffe, wie zum Beispiel „vertikal“ und „normal“ beziehen sich auf eine Richtung senkrecht zu der Richtung „horizontalen“ und „lateralen“ Richtung. Begriffe, wie zum Beispiel „über“ und „unter“ zeigen eine Positionierung von Elementen oder Strukturen relativ zueinander und/oder zu der oberseitigen Oberfläche des Halbleitersubstrats gegenüber einer relativen Erhöhung an.
  • Ein mit einem anderen Element „verbundenes“ oder „gekoppeltes“ Merkmal kann mit dem anderen Element direkt verbunden oder gekoppelt sein oder es kann wenigstens ein dazwischenliegendes Element vorhanden sein. Ein Merkmal kann mit einem anderen Element „direkt verbunden“ oder „direkt gekoppelt“ sein, wenn dazwischenliegende Elemente nicht vorhanden sind. Ein Merkmal kann mit einem anderen Element „indirekt verbunden“ oder „indirekt gekoppelt“ sein, wenn wenigstens ein dazwischenliegendes Element vorhanden ist.
  • Die Beschreibungen der verschiedenen Ausführungsformen der Erfindung erfolgte zu Veranschaulichungszwecken und ist nicht als vollständig oder auf die beschriebenen Ausführungsformen beschränkend anzusehen. Viele Modifizierungen und Variationen sind dem Fachmann ersichtlich, ohne vom Wesen und Rahmen der beschriebenen Ausführungsformen abzuweichen. Die hierin verwendete Terminologie wurde ausgewählt, um die Prinzipien der Ausführungsformen, die praktische Anwendung oder technische Verbesserung gegenüber Technologien am besten zu erläutern, die auf dem Markt angetroffen werden, oder um dem Laien ein Verständnis der hierin offenbarten Ausführungsformen zu ermöglichen.

Claims (20)

  1. Verfahren, umfassend: ein Bilden eines Strukturierungsstapels über einer dielektrischen Schicht, wobei der Strukturierungsstapel eine Blindleitung umfasst; ein Bilden einer Maskenschicht über dem Strukturierungsstapel und einer Abstandshalterstrukturierungsschicht über der Maskenschicht; ein Ätzen einer Öffnung in die Abstandshalterstrukturierungsschicht, um einen Abschnitt der Maskenschicht freizulegen, die die Blindleitung überlagert; ein Bilden eines ersten Abstandshalters und eines zweiten Abstandshalters auf dem freiliegenden Abschnitt der Maskenschicht auf Seitenwänden der Öffnung, wobei der erste Abstandshalter und der zweite Abstandshalter entsprechende erste Abschnitte der Blindleitung überlagern und dazu quer liegen; ein bezüglich dem Strukturierungsstapel selektives Entfernen der Abstandhalterstrukturierungsschicht und der Maskenschicht, wobei der erste Abstandhalter und der zweite Abstandhalter zweite Abschnitte der Blindleitung freilegen; ein bezüglich dem ersten Abstandshalter und dem zweiten Abstandshalter selektives Entfernen der zweiten Abschnitte der Blindleitung, um ein Merkmal in dem Strukturierungsstapel zu bilden, welches einen ersten Spalt unterhalb des ersten Abstandshalters und einen zweiten Spalt unterhalb des zweiten Abstandshalters umfasst; und ein Bilden einer ersten Metallleitung in der dielektrischen Schicht unter Verwendung des Merkmals in dem Strukturierungsstapel, wobei die erste Metallleitung entsprechende Schnitte an dem ersten Spalt und dem zweiten Spalt in dem Merkmal in dem Strukturierungsstapel umfasst.
  2. Verfahren nach Anspruch 1, wobei das Bilden des ersten Abstandshalters und des zweiten Abstandshalters auf dem freiliegenden Abschnitt der Maskenschicht an den Seitenwänden der Öffnung umfasst: ein Abscheiden einer konformen Schicht auf der Abstandshalterstrukturierungsschicht und auf Seitenwänden der Öffnung, wobei die konforme Schicht auf den Seitenwänden der Öffnung den ersten Abstandshalter und den zweiten Abstandshalter festlegen; und ein Ätzen der konformen Schicht, um die Abstandshalterstrukturierungsschicht freizulegen, wobei das Ätzen gesteuert ist, um die konforme Schicht von über der Abstandshalterstrukturierungsschicht ohne Entfernen des ersten Abstandshalters und des zweiten Abstandshalters zu entfernen.
  3. Verfahren nach Anspruch 2, wobei die konforme Schicht und die Maskenschicht aus dem gleichen Material gebildet sind.
  4. Verfahren nach Anspruch 2, wobei die konforme Schicht und die Maskenschicht aus einem dielektrischen Material basierend auf einem Nitrid gebildet sind.
  5. Verfahren nach Anspruch 2, wobei die konforme Schicht eine Dicke aufweist, die ausgewählt ist, um eine Dicke des ersten Abstandshalters und des zweiten Abstandshalters festzulegen, und die Dicke des ersten Abstandshalters und des zweiten Abstandshalters eine Dimension des ersten Spalts und des zweiten Spalts in dem Merkmal in dem Strukturierungsstapel festlegt.
  6. Verfahren nach Anspruch 5, wobei die Dicke von jedem von dem ersten Abstandshalter und dem zweiten Abstandshalter kleiner oder gleich 5 nm ist.
  7. Verfahren nach Anspruch 1, wobei das Ätzen der Öffnung in der Abstandshalterstrukturierungsschicht umfasst: ein Bilden eines Lithographiestapels über der Abstandshalterstrukturierungsschicht; Strukturieren einer Öffnung in dem Lithographiestapel, die einen Abschnitt der Abstandshalterstrukturierungsschicht freilegt; und ein Ätzen des freiliegenden Abschnitts der Abstandshalterstrukturierungsschicht selektiv zu der Maskenschicht, um die Öffnung in der Abstandshalterstrukturierungsschicht zu bilden.
  8. Verfahren nach Anspruch 1, wobei die Abstandshalterstrukturierungsschicht aus einem dielektrischen Material basierend auf einem Oxid gebildet ist und die Maskenschicht aus einem dielektrischen Material basierend auf einem Nitrid gebildet ist.
  9. Verfahren nach Anspruch 1, wobei der erste Abstandshalter und der zweite Abstandshalter durch eine Länge gebildet sind, die kleiner oder gleich 15 nm ist.
  10. Verfahren nach Anspruch 1, wobei der Strukturierungsstapel eine Hartmaske umfasst, die zwischen der Blindleitung und der dielektrischen Schicht angeordnet ist, und das Merkmal ein Graben in der Hartmaske ist, der sich zu der dielektrischen Schicht erstreckt.
  11. Verfahren nach Anspruch 10, wobei das Bilden der ersten Metallleitung in der dielektrischen Schicht unter Verwendung des Merkmals in dem Strukturierungsstapel umfasst: ein Ätzen von Abschnitten der dielektrischen Schicht, die durch den Graben in der Hartmaskenschicht freigelegt sind, um einen Graben in der dielektrischen Schicht zu bilden; und ein Abscheiden eines Metalls in dem Graben in der dielektrischen Schicht.
  12. Verfahren nach Anspruch 11, wobei das Metall aus Kobalt oder Ruthenium gebildet ist.
  13. Verfahren nach Anspruch 11, wobei die Schnitte in der ersten Metallleitung eine Metallinsel als einen Abschnitt der ersten Metallleitung festlegen und die Schnitte durch Abschnitte der dielektrischen Schicht gefüllt sind.
  14. Verfahren nach Anspruch 13, wobei die Metallinsel eine Länge von kleiner oder gleich 15 nm aufweist.
  15. Verfahren nach Anspruch 13, wobei die dielektrische Schicht, die Metallinsel und die erste Metallleitung zusammen eine erste Metallisierungsschicht bilden, und ferner umfassen: ein Bilden einer zweiten Metallisierungsschicht, die unter der ersten Metallisierungsschicht angeordnet ist, wobei die zweite Metallisierungsschicht eine zweite Metallleitung umfasst; ein Bilden einer ersten leitfähigen Via, die zu der zweiten Metallleitung ausgerichtet ist und damit in Kontakt steht, wobei die erste leitfähige Via die Metallinsel kontaktiert; ein Bilden einer zweiten leitfähigen Via, die die Metallinsel kontaktiert; ein Bilden einer dritten Metallisierungsschicht, die über der ersten Metallisierungsschicht angeordnet ist, wobei die dritte Metallisierungsschicht eine dritte Metallleitung umfasst, die dritte Metallleitung zu der zweiten leitfähigen Via ausgerichtet ist und damit in Kontakt ist.
  16. Verfahren nach Anspruch 15, wobei die Metallinsel, die erste leitfähige Via und die zweite leitfähige Via aus dem gleichen Material gebildet sind.
  17. Struktur, umfassend: eine erste Metallisierungsschicht, die eine Mehrzahl von ersten Metallleitungen und eine Metallinsel umfasst, wobei die Metallinsel elektrisch von der Mehrzahl von ersten Metallleitungen isoliert ist; eine zweite Metallisierungsschicht, die unter der ersten Metallisierungsschicht angeordnet ist, wobei die zweite Metallisierungsschicht eine zweite Metallleitung umfasst; eine dritte Metallisierungsschicht, die über der ersten Metallisierungsschicht angeordnet ist, wobei die dritte Metallisierungsschicht eine dritte Metallleitung umfasst; eine erste leitfähige Via, die die Metallinsel mit der zweiten Metallleitung verbindet; und eine zweite leitfähige Via, die die Metallinsel mit der dritten Metallleitung verbindet, wobei die erste leitfähige Via, die zweite leitfähige Via und die Metallinsel eine elektrische Verbindung zwischen der zweiten Metallleitung und der dritten Metallleitung bereitstellen.
  18. Struktur nach Anspruch 17, wobei die Metallinsel eine Länge von kleiner oder gleich 15 nm aufweist.
  19. Struktur nach Anspruch 17, wobei die Metallinsel aus Kobalt oder Ruthenium gebildet ist.
  20. Struktur nach Anspruch 17, wobei die Metallinsel, die erste leitfähige Via und die zweite leitfähige Via aus dem gleichen Material gebildet sind.
DE102019100014.9A 2018-01-02 2019-01-02 Verfahren zum Strukturieren von dielektrischen Schichten für eine Metallisierung und entsprechende Strukturen Active DE102019100014B4 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/860,193 2018-01-02
US15/860,193 US10347583B1 (en) 2018-01-02 2018-01-02 Methods of patterning dielectric layers for metallization and related structures

Publications (2)

Publication Number Publication Date
DE102019100014A1 true DE102019100014A1 (de) 2019-07-04
DE102019100014B4 DE102019100014B4 (de) 2023-01-19

Family

ID=66817060

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019100014.9A Active DE102019100014B4 (de) 2018-01-02 2019-01-02 Verfahren zum Strukturieren von dielektrischen Schichten für eine Metallisierung und entsprechende Strukturen

Country Status (3)

Country Link
US (2) US10347583B1 (de)
DE (1) DE102019100014B4 (de)
TW (1) TWI706511B (de)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11114153B2 (en) * 2019-12-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM devices with reduced coupling capacitance
US20230197609A1 (en) * 2021-12-17 2023-06-22 Sukru Yemenicioglu Iso-level vias for advanced integrated circuit structure fabrication

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100467020B1 (ko) * 2002-07-26 2005-01-24 삼성전자주식회사 자기 정렬된 접합영역 콘택홀을 갖는 반도체 장치 및 그제조 방법
US9437443B2 (en) 2013-06-12 2016-09-06 Globalfoundries Inc. Low-temperature sidewall image transfer process using ALD metals, metal oxides and metal nitrides
US8932955B1 (en) * 2013-09-04 2015-01-13 Sandisk Technologies Inc. Triple patterning NAND flash memory with SOC
US9406511B2 (en) * 2014-07-10 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double patterning
US9972603B2 (en) 2015-12-29 2018-05-15 Taiwan Semiconductor Manufacturing Co., Ltd. Seal-ring structure for stacking integrated circuits
US9607893B1 (en) 2016-07-06 2017-03-28 Globalfoundries Inc. Method of forming self-aligned metal lines and vias
US9818641B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in mandrel and a non-mandrel lines of an array of metal lines
US9818640B1 (en) 2016-09-21 2017-11-14 Globalfoundries Inc. Apparatus and method of forming self-aligned cuts in a non-mandrel line of an array of metal lines

Also Published As

Publication number Publication date
US20190206795A1 (en) 2019-07-04
US10347583B1 (en) 2019-07-09
TWI706511B (zh) 2020-10-01
DE102019100014B4 (de) 2023-01-19
US20190229059A1 (en) 2019-07-25
TW201937660A (zh) 2019-09-16

Similar Documents

Publication Publication Date Title
DE102016100766B4 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE10253938B4 (de) Verfahren zur gleichzeitigen Herstellung einer Bonding-Pad-Struktur und eines Stapelkondensators in einer Halbleitervorrichtung
DE112012003959B4 (de) Struktur und Verfahren zum Verringern von vertikaler Rissausbreitung
DE102013103976B4 (de) Halbleiterbauelement mit selbstausgerichteten Verbindungen und Sperrabschnitten
DE102019200054B4 (de) Verfahren zum Strukturieren von Metallisierungsleitungen mit variabler Breite
DE102008048651B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements mit zwei Kondensatoren
DE102010064289B4 (de) Größenreduzierung von Kontaktelementen und Kontaktdurchführungen in einem Halbleiterbauelement durch Einbau eines zusätzlichen Abschrägungsmaterials
DE102019203596B4 (de) Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden
DE102005020132B4 (de) Technik zur Herstellung selbstjustierter Durchführungen in einer Metallisierungsschicht
DE102011085203B4 (de) Herstellungsverfahren für Halbleiterbauelemente mit Durchgangskontakten
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE19704149B4 (de) Verfahren zum Herstellen einer Metallverdrahtung an einem Halbleiterbauteil sowie nach diesem Verfahren hergestellte Metallverdrahtung
DE19634125A1 (de) Verfahren zum Herstellen von Verbindungsschichten in einer Halbleiterkomponente
DE102018203792B4 (de) Nicht-Dorn-Schnittbildung
DE102019203224B4 (de) Selbstausgerichtete Mehrfachstrukturierungsprozesse mit geschichteten Dornen
DE102014100564A1 (de) Halbleitervorrichtungen und Verfahren zu ihrer Herstellung
DE102018205693B4 (de) Verfahren zum bilden von selbstausgerichteten schnitten
DE102018208142A1 (de) Multidirektionale selbstausgerichtete Mehrfachstrukturierung
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE102019205284A1 (de) Zwischenverbindungen mit Dornschnitten mit variablem Raum gebildet durch Blockstrukturierung
DE69930027T2 (de) Metallisierungsverfahren für Halbleiter
DE102019100014B4 (de) Verfahren zum Strukturieren von dielektrischen Schichten für eine Metallisierung und entsprechende Strukturen
DE102013112137A1 (de) Verfahren zum Verarbeiten eines Dies
DE102018211683B4 (de) Verfahren zur herstellung von metallisierungsebenen
DE112020003222T5 (de) Zwischenverbindungsanordnung mit vollständig ausgerichteten durchkontakten

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R081 Change of applicant/patentee

Owner name: GLOBALFOUNDRIES U.S. INC., SANTA CLARA, US

Free format text: FORMER OWNER: GLOBALFOUNDRIES INC., GRAND CAYMAN, KY

R082 Change of representative

Representative=s name: GRUENECKER PATENT- UND RECHTSANWAELTE PARTG MB, DE

R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final