DE102008029792A1 - NOR-flash-Bauelement und Verfahren zur Herstellung des Bauelementes - Google Patents

NOR-flash-Bauelement und Verfahren zur Herstellung des Bauelementes Download PDF

Info

Publication number
DE102008029792A1
DE102008029792A1 DE102008029792A DE102008029792A DE102008029792A1 DE 102008029792 A1 DE102008029792 A1 DE 102008029792A1 DE 102008029792 A DE102008029792 A DE 102008029792A DE 102008029792 A DE102008029792 A DE 102008029792A DE 102008029792 A1 DE102008029792 A1 DE 102008029792A1
Authority
DE
Germany
Prior art keywords
metal line
layer
intermetal dielectric
contact
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
DE102008029792A
Other languages
English (en)
Inventor
Sung Joong Yongin Joo
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
DB HiTek Co Ltd
Original Assignee
Dongbu HitekCo Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dongbu HitekCo Ltd filed Critical Dongbu HitekCo Ltd
Publication of DE102008029792A1 publication Critical patent/DE102008029792A1/de
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • H01L21/02153Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides the material containing titanium, e.g. TiSiOx
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Non-Volatile Memory (AREA)

Abstract

Ein NOR-Flash-Speicherbauelement, das eine Back-End-of-Line-(BEOL)-Struktur hat, wobei die BEOL-Struktur ein Substrat umfasst, das einen leitfähigen Bereich hat, eine erste Zwischenmetall-Dielektrikum-Schicht, die auf dem Substrat ausgebildet ist, eine erste Metallleitung, die auf dem leitfähigen Bereich ausgebildet ist, eine zweite Zwischenmetall-Dielektrikum-Schicht, die auf der ersten Metallleitung und dem ersten Zwischenmetall-Dielektrikum ausgebildet ist, einen ersten Kontakt, der sich durch die zweite Zwischenmetall-Dielektrikum-Schicht erstreckt, und eine zweite Metallleitung, die mit der ersten Metallleitung über den ersten Kontakt verbunden ist. Mindestens einer von erstem Kontakt und den ersten und zweiten Metallleitungen besteht aus Kupfer, und mindestens eine der ersten und zweiten Zwischenmetall-Dielektrikum-Schichten besteht aus einem schwach dielektrischen Material. Die Verwendung von Kupfer-Metallleitungen und Zwischenmetall-Dielektrikum-Schichten, die aus einem Material mit kleinem k-Wert (k = 3,0) bestehen, ermöglicht es, die Verzögerungs-Zeitkonstante um 40% oder mehr zu verbessern.

Description

  • Die vorliegende Patentanmeldung beansprucht die Priorität der koreanischen Patentanmeldung Nr. 10-2007-0062806 (eingereicht am 26. Juni 2007), die in ihrer Gesamtheit hiermit als Referenz mit aufgenommen wird.
  • HINTERGRUND
  • Um die Nachfrage nach dünnen, hoch integrierten und schnellen extrem hochintegrierten (ULSI) Schaltkreisen zu befriedigen, wird selbst in einem Flash-Bauelement eine neue Technologie benötigt. Ein Material eines Zwischenmetall-Dielektrikums (IMD) und eine Technologie zu dessen Herstellung sind auch in einem NOR-Flash-Bauelement wichtige Faktoren zur Verbesserung der Charakteristiken des Bauelementes. Zuerst wird im Folgenden die Verzögerungszeit entsprechend der Arten der Materialien beschrieben.
  • 1 ist ein Graph, der einen Vergleich der Verzögerungszeit entsprechend der Arten von Materialien zeigt, wobei die horizontale Achse die Leitungsbreite und die vertikale Achse die Verzögerungszeit zeigt. Wie in 1 gezeigt, kann wenn eine schwach dielektrische dünne Schicht auf eine Leitung aufgebracht wird, die eine Leitungsbreite von 0,13 μm oder weniger hat, sich die Verzögerungszeit im Fall von Al/SiO2 plötzlich erhöhen. Wenn jedoch Cu/Low-k darauf aufgebracht wird, kann sich die Verzögerungszeit im Vergleich zu Al/SiO2 um ungefähr 50% verringern. Ferner kann die Anzahl der Schichten einer Metallleitung von 12 auf 6 verringert werden. Da ein komplizierter Metallleitungs-Prozess vereinfacht werden kann, kann daher der Stromverbrauch des Bauelementes um ungefähr 30% verringert werden, und die Herstellungskosten des Bauelementes können um ungefähr 30% verringert werden. Ein Material eines Zwischenmetall-Dielektrikums ist als Kerntechnologie in der Entwicklung eines Halbleiterbauelementes der nächsten Generation auf dem Vormarsch.
  • Selbst im Fall eines NOR-Flash-Bauelementes kann seine Größe verringert werden, so dass eine Zeitkonstante RC, Nebensprechen, Rauschen und Verlustleistung auftreten. Als Folge davon können in einem BEOL ein Material mit hoher Leitfähigkeit und ein dielektrisches Material mit kleinem k-Wert als Material eines Zwischenmetall-Dielektrikums benutzt werden. In einer Struktur eines BEOL eines NOR-Flash-Bauelementes hat jedoch ein dünner SiO2-Film, der als Material eines Zwischenmetall-Dielektrikums (IMD) der zurzeit benutzten Metallleitung dient, eine Dielektrizitätskonstante von 3,9 bis 4,2, was zu groß ist. Dies kann zu einem schwerwiegenden Problem bei der Berücksichtigung der hohen Integration und der hohen Geschwindigkeit des Halbleiterbauelementes der Klasse 0,18 μm oder höher, usw. führen. Auch kann es sein, dass zum Erzielen einer hohen Integration und einer hohen Geschwindigkeit eine kritische Dimension (CD) von 0,13 μm und eine Ansteuerungs-Geschwindigkeit von 2000 MHz benötigt werden. Da jedoch das Leitungsmaterial eines herkömmlichen NOR-Flash-Bauelementes Aluminium ist, besteht das Problem, dass der elektrische Widerstand zu groß ist.
  • ZUSAMMENFASSUNG
  • Ausführungen beziehen sich auf ein NOR-Flash-Bauelement, wie z. B. der Klasse 90 nm, usw. und insbesondere auf eine BEOL-(Back-End-of-Line)-Struktur in einem NOR-Flash-Bauelement und ein Verfahren zur Herstellung des Bauelementes.
  • Ausführungen beziehen sich auf ein NOR-Flash-Bauelement und ein Verfahren zur Herstellung des Bauelementes, wobei Kupfer und ein dielektrisches Material mit kleinem k-Wert in einer BEOL-Struktur verwendet wird.
  • Ausführungen beziehen sich auf ein NOR-Flash-Bauelement und ein Verfahren zur Herstellung des Bauelementes, mit dem die Diffusion von Kupfer verhindert werden kann, die durch Anwendung von Kupfer und eines dielektrischen Materials mit kleinem k-Wert in einer BEOL-Struktur ausgelöst werden kann.
  • Ausführungen beziehen sich auf ein NOR-Flash-Bauelement, das eine BEOL-Struktur hat, das mindestens eines der folgenden Dinge enthalten kann:
    ein Substrat, das einen leitfähigen Bereich hat;
    ein erstes Zwischenmetall-Dielektrikum, das auf und/oder über dem Substrat ausgebildet ist;
    eine erste Metallleitung, die im leitfähigen Bereich ausgebildet ist;
    ein zweites Zwischenmetall-Dielektrikum, das die erste Metallleitung und das erste Zwischenmetall-Dielektrikum bedeckt;
    einen ersten Kontakt, der das zweite Zwischenmetall-Dielektrikum durchdringt; und
    eine zweite Metallleitung, die mit der ersten Metallleitung über den ersten Kontakt verbunden ist.
  • Gemäß Ausführungen bestehen mindestens einer von erstem Kontakt und den ersten und zweiten Metallleitungen aus Kupfer, und mindestens eines vom ersten und zweiten Zwischenmetall-Dielektrikum besteht aus einem schwach dielektrischen Material.
  • Ausführungen beziehen sich auf ein Verfahren zur Herstellung eines NOR-Flash-Speichers, der eine BEOL-Struktur hat und mindestens einen der folgenden Schritte umfassen kann:
    Ausbilden eines leitfähigen Bereichs in einem Substrat; und dann
    Ausbilden eines ersten Zwischenmetall-Dielektrikums, das einen Graben hat, der den leitfähigen Bereich freilegt, auf und/oder über dem Substrat; und dann
    Ausbilden einer ersten Metallleitung im Graben; und dann
    Ausbilden eines zweiten Zwischenmetall-Dielektrikums, das ein Loch hat, welches die erste Metallleitung freilegt, auf der Oberseite der ersten Metallleitung und dem ersten Zwischenmetall-Dielektrikum; und dann
    Ausbilden eines ersten Kontaktes und einer zweiten Metallleitung in dem Loch.
  • Gemäß Ausführungen besteht mindestens einer von erstem Kontakt und den ersten und zweiten Metallleitungen aus Kupfer und mindestens eines von erstem und zweitem Zwischenmetall-Dielektrikum besteht aus einem dielektrischen Material mit kleinem k-Wert.
  • Ausführungen beziehen sich auf eine Vorrichtung, die mindestens eines der folgenden Dinge enthalten kann:
    ein Substrat, das einen leitfähigen Bereich hat;
    eine erste Zwischenmetall-Dielektrikum-Schicht, die auf dem Substrat ausgebildet ist;
    eine erste Metallleitung, die auf dem leitfähigen Bereich ausgebildet ist;
    eine zweite Zwischenmetall-Dielektrikum-Schicht, die auf der ersten Metallleitung und dem ersten Zwischenmetall-Dielektrikum ausgebildet ist;
    einen ersten Kontakt, der sich durch die zweite Zwischenmetall-Dielektrikum-Schicht erstreckt; und
    eine zweite Metallleitung, die mit der ersten Metallleitung über den ersten Kontakt verbunden ist.
  • Gemäß Ausführungen bestehen mindestens einer von erstem Kontakt und den ersten und zweiten Metallleitungen aus Kupfer, und mindestens eines vom ersten und zweiten Zwischenmetall-Dielektrikum besteht aus einem dielektrischen Material mit kleinem k-Wert.
  • Ausführungen beziehen sich auf ein Verfahren, das mindestens einen der folgenden Schritte umfassen kann:
    Ausbilden eines leitfähigen Bereichs in einem Substrat; und dann
    Ausbilden einer ersten Zwischenmetall-Dielektrikum-Schicht auf dem Substrat, wobei die erste Zwischenmetall-Dielektrikum-Schicht einen Graben hat, der den leitfähigen Bereich freilegt; und dann
    Ausbilden einer ersten Metallleitung im Graben; und dann
    Ausbilden einer zweiten Zwischenmetall-Dielektrikum-Schicht auf der ersten Metallleitung und dem ersten Zwischenmetall-Dielektrikum, wobei die zweite Zwischenmetall-Dielektrikum-Schicht ein Loch hat, das die erste Metallleitung freilegt; und dann
    Ausbilden eines ersten Kontaktes und einer zweiten Metallleitung in dem Loch.
  • Gemäß Ausführungen besteht mindestens einer von erstem Kontakt und den ersten und zweiten Metallleitungen aus Kupfer und mindestens eines von erstem und zweitem Zwischenmetall-Dielektrikum besteht aus einem dielektrischen Material mit kleinem k-Wert.
  • ZEICHNUNGEN
  • 1 zeigt einen Graphen, der die Verzögerungszeit in Abhängigkeit von verschiedenen Materialzusammensetzungen zeigt.
  • 2 und 3 zeigen eine BEOL-Struktur eines NOR-Flash-Bauelementes und ein Verfahren zur Herstellung eines NOR-Flash-Bauelementes gemäß Ausführungen.
  • 4 zeigt eine Nachbildung des NOR-Flash-Bauelementes gemäß Ausführungen.
  • 5 zeigt eine BEOL-Struktur eines NOR-Flash-Bauelements.
  • 6(a) und 6(b) zeigen Querschnitte einer ersten Metallleitung und eines leitfähigen Bereichs, die durch REM, bzw. TEM erhalten wurden, gemäß Ausführungen.
  • 7(a) und 7(b) zeigen eine Relation zwischen Widerstand und Wahrscheinlichkeit eines leitfähigen Bereichs und einer ersten Metallleitung gemäß Ausführungen.
  • 8(a) und 8(b) zeigen eine Leerlauf- und eine Kurzschluss-Charakteristik einer ersten Metallleitung gemäß Ausführungen.
  • 9 zeigt Querschnitts-Bilder eines ersten Kontaktes und einer zweiten Metallleitung, die durch REM erhalten wurden, gemäß Ausführungen.
  • 10(a) und 10(b) zeigen eine Relation zwischen Widerstand und Wahrscheinlichkeit eines ersten Kontaktes und einer zweiten Metallleitung gemäß Ausführungen.
  • 11(a) und 11(b) zeigen Querschnitts-Bilder eines zweiten Kontaktes und einer dritten Metallleitung, die durch TEM, bzw. REM erhalten wurden, gemäß Ausführungen.
  • 12(a) bis 12(c) zeigen eine Aluminium-Kontaktfläche, ein REM-Bild einer dritten Metallleitung, bzw. ein AES-Bild einer dritten Metallleitung, gemäß Ausführungen.
  • 13(a) und 13(b) zeigen eine Widerstands-Charakteristik eines zweiten Kontaktes und einer dritten Metallleitung gemäß Ausführungen.
  • 14(a) bis 14(c) zeigen eine Kupfer-Diffusions-Form entsprechend einer Ausheil-Bedingung durch ein optisches Gerät und ein REM gemäß Ausführungen.
  • 15(a) und 15(b) zeigen Querschnitts-Bilder einer Kontaktfläche und einer dritten Metallleitung, wenn TiSiN (2 × 10,0) und TiSiN (4 × 5,0) jeweils als dritte Diffusions-Barriere-Schicht gemäß Ausführungen benutzt werden.
  • 16(a) und 16(b) zeigen FIB-Bilder eines Zentrums und eines Randes, wenn TiSiN (4 × 5,0) als dritte Diffusions-Barriere-Schicht auf ein 90 nm-NOR-Flash-Bauelement gemäß Ausführungen angewendet wird.
  • 17(a) und 17(b) zeigen elektrische Messdaten in einer Ziel-Größe des Flächenwiderstandes und des Kontaktwiderstandes eines vollen Punktes, wenn TiSiN (2 × 5,0) und TiSiN (4 × 5,0) als dritte Diffusions-Barriere-Schicht gemäß Ausführungen benutzt werden.
  • BESCHREIBUNG
  • Im Folgenden werden eine Struktur eines NOR-Flash-Bauelementes und ein Verfahren zur Herstellung des Bauelementes gemäß Ausführungen mit Bezug auf die begleitenden Zeichnungen beschrieben.
  • Wie in 2 gezeigt, kann in einem NOR-Flash-Bauelement, das eine BEOL-(Back End of Line)-Struktur gemäß Ausführungen hat, die BEOL-Struktur ein Substrat 10, ein erstes Zwischenmetall-Dielektrikum 14, eine erste Metallleitung 16, ein zweites Zwischenmetall-Dielektrikum 18, einen ersten Kontakt 20 und eine zweite Metallleitung 22 umfassen. Spezieller kann das Substrat 10 einen leitfähigen Bereich 12 haben. Das erste Zwischenmetall-Dielektrikum 14 kann auf und/oder über dem Substrat 10 ausgebildet werden, und die erste Metallleitung 16 kann so ausgebildet werden, dass sie sich durch das erste Zwischenmetall-Dielektrikum 14 und auf und/oder über dem Substrat 10, das den leitfähigen Bereich 12 enthält, erstreckt. Das zweite Zwischenmetall-Dielektrikum 18 kann auf und/oder über der ersten Metallleitung 16 und dem ersten Zwischenmetall-Dielektrikum 14 ausgebildet sein. Der erste Kontakt 20 kann so ausgebildet sein, dass er sich durch das zweite Zwischenmetall-Dielektrikum 18 erstreckt, und die zweite Metallleitung 22 kann mit der ersten Metallleitung 16 über den ersten Kontakt 20 verbunden sein. Mindestens eines von erstem Kontakt 20 und ersten und zweiten Metallleitungen 16 und 22 kann aus Kupfer bestehen. Mindestens eines von erstem und zweitem Zwischenmetall-Dielektrikum 14 und 18 kann aus einem dielektrischen Material mit kleinem k-Wert bestehen.
  • Gemäß Ausführungen kann die BEOL-Struktur ferner ein drittes Zwischenmetall-Dielektrikum 24, einen zweiten Kontakt 26 und eine dritte Metallleitung 28 enthalten. Das dritte Zwischenmetall-Dielektrikum 24 kann auf und/oder über der zweiten Metallleitung 22 und dem zweiten Zwischenmetall-Dielektrikum 18 ausgebildet werden. Der zweite Kontakt 26 kann so ausgebildet werden, dass er sich durch das dritte Zwischenmetall-Dielektrikum 24 erstreckt. Die dritte Metallleitung 28 kann über den zweiten Kontakt 26 mit der zweiten Metallleitung 22 verbunden sein. Der zweite Kontakt 26 kann aus Kupfer bestehen, und das dritte Zwischenmetall-Dielektrikum 24 kann aus einem dielektrischen Material mit kleinem k-Wert bestehen. Die BEOL-Struktur kann ferner erste, zweite und dritte Diffusions-Barriere-Schichten 32, 34 und 36 umfassen. Die erste Diffusions-Barriere-Schicht 32 kann zwischen der ersten Metallleitung 16 und dem zweiten Zwischenmetall-Dielektrikum 18 ausgebildet sein. Die zweite Diffusions-Barriere-Schicht 34 kann zwischen der zweiten Metallleitung 22 und dem dritten Zwischenmetall-Dielektrikum 24 ausgebildet sein. Die dritte Diffusions-Barriere-Schicht 36 kann zwischen dem zweiten Kontakt 26 und einem vierten Zwischenmetall-Dielektrikum 30 ausgebildet sein. Jedes von erstem, zweitem und drittem Zwischenmetall-Dielektrikum 14, 18 und 24 kann eine Mehrschicht-Struktur haben, Schichten aus einem dielektrischen Material mit kleinem k-Wert 40, 44 und 48 und Tetraethylorthosilikat-Glas (TEOS) Oxidschichten 42, 46 und 50, die auf und/oder über Schichten aus einem dielektrischen Material mit kleinem k-Wert 40, 44 und 48 ausgebildet sind, umfassen. Das vierte Zwischenmetall-Dielektrikum 30 kann auf und/oder über der dritten Diffusions-Barriere-Schicht 36 ausgebildet sein.
  • Wie in den 2 und 3 in Schritt 60 gezeigt, kann der leitfähige Bereich 12 im Halbleitersubstrat 10 ausgebildet sein. Eine vorher festgelegte Halbleiter-Struktur kann auf und/oder über dem Halbleitersubstrat 10 ausgebildet werden, das den leitfähigen Bereich 12 enthält. Nach der Ausführung von Schritt 60 kann Schritt 62 umfassen, das erste Zwischenmetall-Dielektrikum 14 auszubilden, in dem sich ein Graben befindet, der den leitfähigen Bereich 12 auf und/oder über dem Substrat 10 freilegt. Nach der Ausführung von Schritt 62 kann dann in Schritt 64 die erste Metallleitung 16 im Graben des ersten Zwischenmetall-Dielektrikums 14 ausgebildet werden. Nach der Ausführung von Schritt 64 kann dann in Schritt 66 die erste Diffusions-Barriere-Schicht 32 auf und/oder über dem ersten Zwischenmetall-Dielektrikum 14 ausgebildet werden. Nach der Ausführung von Schritt 66 kann dann in Schritt 68 das zweite Zwischenmetall-Dielektrikum 18, das ein Damaszener-Loch hat, welches die erste Metallleitung 16 frei legt, auf und/oder über der ersten Diffusions-Barriere-Schicht 32 ausgebildet werden. Nach der Ausführung von Schritt 68 können in Schritt 70 der erste Kontakt 20 und die zweite Metallleitung 22 im Damaszener-Loch des zweiten Zwischenmetall-Dielektrikums 18 ausgebildet werden. Der erste Kontakt 20 kann so ausgebildet werden, dass er sich durch das zweite Zwischenmetall-Dielektrikum 18 erstreckt, um die erste Metallleitung 16 und die zweite Metallleitung 22 miteinander zu verbinden. Nach der Ausführung von Schritt 70 kann dann in Schritt 72 die zweite Diffusions-Barriere-Schicht 34 auf und/oder über der zweiten Metallleitung 22 und dem zweiten Zwischenmetall-Dielektrikum 18 ausgebildet werden. Nach der Ausführung von Schritt 72 kann dann in Schritt 74 das dritte Zwischenmetall-Dielektrikum 24, das eine Durchkontaktierung hat, die die zweite Metallleitung 22 offen legt, auf und/oder über der zweiten Diffusions-Barriere-Schicht 34 ausgebildet werden. Nach der Ausführung von Schritt 74 kann dann in Schritt 76 der zweite Kontakt 26 in der Durchkontaktierung des dritten Zwischenmetall-Dielektrikums 24 ausgebildet werden. Nach der Ausführung von Schritt 76 kann dann in Schritt 78 die dritte Diffusions- Barriere-Schicht 36 auf und/oder über dem zweiten Kontakt 26 ausgebildet werden. Nach der Ausführung von Schritt 78 kann dann in Schritt 80 die dritte Metallleitung 28 und das vierte Zwischenmetall-Dielektrikum 30 auf und/oder über der dritten Diffusions-Barriere-Schicht 36 ausgebildet werden. Die dritte Metallleitung 28 kann über den zweiten Kontakt 26, der sich durch das dritte Zwischenmetall-Dielektrikum 24 erstreckt, mit der zweiten Metallleitung 22 verbunden werden.
  • Wie in 2 gezeigt, können gemäß Ausführungen mindestens eine von erster Metallleitung 16, erstem Kontakt 20, zweiter Metallleitung 22 und zweitem Kontakt 26 aus einem Metall, wie z. B. Kupfer, bestehen. Zum Beispiel kann eine solche Kupferschicht durch ein Metall-Abscheidungs-Verfahren, wie z. B. ein galvanisches Verfahren, ein chemisches Gasphasenabscheidungs-Verfahren (CVD) oder ein Verfahren zur Abscheidung aus der Dampfphase (PVD), usw. ausgebildet werden. Die ausgebildete Kupferschicht kann dann durch ein chemisch-mechanisches Polierverfahren, usw. poliert werden, wodurch es möglich gemacht wird, Metallleitungen 16 und 22 und Metallkontakte 20 und 26 zu erhalten. Wie oben beschrieben können, wenn die Metallleitungen 16 und 22 und die Metallkontakte 20 und 26 aus Kupfer bestehen, diese durch einen einzelnen Damaszener-Prozess oder einen doppelten Damaszener-Prozess ausgebildet werden. In diesem Fall kann das Loch des zweiten Zwischenmetall-Dielektrikums 18, das in Schritt 68 ausgebildet wird, ein Damaszener-Loch sein. Zum Beispiel können der erste Kontakt 20 und die zweite Metallleitung 22 durch einen Damaszener-Prozess ausgebildet werden, insbesondere durch einen doppelten Damaszener-Prozess. Eine Materialschicht für das zweite Zwischenschicht-Dielektrikum 18 kann auf und/oder über der ersten Diffusions-Barriere-Schicht 32 abgeschieden werden und kann dann geätzt werden, wozu es unter Verwendung eines fotoempfindli chen Schicht-Musters mit einem Muster versehen und geätzt wird, um ein Damaszener-Loch zu erzeugen. Eine Diffusions-Barriere-Schicht kann dann auf und/oder über Innenwänden des erzeugten Damaszener-Lochs ausgebildet werden. Kupfermaterial kann dann auf und/oder über der Diffusions-Barriere-Schicht abgeschieden werden, was es möglich macht, den zweiten Kontakt 20 und die zweite Metallleitung 22 durch den CMP-Prozess auszubilden. 2 zeigt eine BEOL-Struktur, in der drei Schichten einer Metallleitung unter Verwendung eines dielektrischen Materials mit kleinem k-Wert und Kupfer durch einen Damaszener-Prozess hergestellt werden.
  • Wenn entsprechende Metall-Kontakte 20 und 26 und Metallleitungen 16 und 22 aus Kupfer bestehen, kann eine Diffusions-Barriere-Schicht zum Verhindern der Diffusion von Kupfer in eine benachbarte Zwischenmetall-Dielektrikum-Schicht ausgebildet werden. Zum Beispiel kann zusätzlich zur ersten, zweiten und dritten Diffusions-Barriere-Schicht 32, 34 und 36 eine Vielzahl von Diffusions-Barriere-Schichten zum Verhindern der Diffusion von Kupfer zwischen der Kupferschicht und dem Zwischenmetall-Dielektrikum bereitgestellt werden. Die Diffusions-Barriere-Schicht kann durch ein PVD-Verfahren, ein CVD-Verfahren oder ein Verfahren zum Abscheiden atomarer Schichten (ALD) ausgebildet werden und kann aus mindestens einem von TaN, Ta, TaN/Ta, TiSiN, WN, TiZrN, TiN und Ti/TiN, usw. bestehen. Wenn die erste Metallleitung 16 aus Kupfer besteht, kann die erste Diffusions-Barriere-Schicht 32 die Rolle übernehmen, es zu verhindern, dass Kupfer der ersten Metallleitung 16 in das zweite Zwischenmetall-Dielektrikum 18 diffundiert. Wenn die zweite Metallleitung 22 aus Kupfer besteht, kann die zweite Diffusions-Barriere-Schicht 34 auch die Rolle übernehmen, es zu verhindern, dass Kupfer der zweiten Metallleitung 22 in das dritte Zwischenmetall-Dielektrikum 24 diffundiert. Die dritte Metallleitung 28 kann aus Metallen bestehen, wie Kupfer oder Aluminium. Da der zweite Kontakt 26 jedoch aus Kupfer besteht, kann die dritte Diffusions-Barriere-Schicht 36 die Rolle übernehmen, es zu verhindern, dass Kupfer in die dritte Metallleitung 28 diffundiert, die aus Aluminium besteht.
  • Im NOR-Flash-Bauelement kann, da ein anschließender Ausheil-Prozess lange dauert, wenn der anschließende Ausheil-Prozess fortgesetzt wird, Kupfer in die dritte Metallleitung 28 aus Aluminium diffundieren, wenn die Dicke der dritten Diffusions-Barriere-Schicht 36 klein ist. Wenn Kupfer diffundiert, kann ein Problem beim anschließenden Bonden oder bei der Gehäuseunterbringung auftreten. Um dies zu verhindern, kann die Dicke der dritten Diffusions-Barriere-Schicht 36, die aus TiSiN besteht, größer gemacht werden. Die Dicke der dritten Diffusions-Barriere-Schicht 36 kann in einem Bereich von 2 × 1,5 nm bis 4 × 10,0 nm (2 × 15 Å bis 4 × 100 Å) ausgebildet werden, und kann vorzugsweise mit 4 × 5,0 nm (4 × 50 Å) ausgebildet werden. In dem Ausdruck für die Dicke kennzeichnet der vordere Teil vor dem "x" die Anzahl von Schichten, und der hintere Teil nach dem "x" kennzeichnet die Dicke jeder Schicht. Zum Beispiel hat 4 × 5,0 nm (4 × 50 Å) eine Vierschichten-Struktur, so dass die Dicke jeder Schicht 5,0 nm (50 Å) beträgt.
  • Die ersten bis vierten Zwischenmetall-Dielektrika 14, 18, 24 und 30 können aus einem dielektrischen Material mit kleinem k-Wert bestehen. Zum Beispiel kann mindestens eines von erstem, zweiten und dritten Zwischenmetall-Dielektrikum 14, 18 oder 24 eine geschichtete Mehrschicht-Struktur haben, die Schichten 40, 44 oder 48 aus einem dielektrischen Material mit kleinem k-Wert und TEOS-Oxidschichten 42, 46 oder 50 enthalten, die auf und/oder über den Schichten 40, 44 oder 48 aus einem dielektrischen Material mit kleinem k-Wert ausgebildet sind. Mit anderen Worten kann, um das erste Zwischenmetall-Dielektrikum 14 auszubilden, die Schicht 40 aus dielektrischem Material mit kleinem k-Wert auf und/oder über dem Substrat 10 ausgebildet werden. Nach dem Ausbilden der Schicht 40 aus dielektrischem Material mit kleinem k-Wert kann dann die TEOS-Oxidschicht 42 dann auf und/oder über der Schicht 40 aus dielektrischem Material mit kleinem k-Wert ausgebildet werden. Auf ähnliche Weise kann dann, um das zweite Zwischenmetall-Dielektrikum 18 auszubilden, die Schicht 44 aus dielektrischem Material mit kleinem k-Wert auf und/oder über der ersten Diffusions-Barriere-Schicht 32 ausgebildet werden.
  • Dann kann die TEOS-Oxidschicht 46 auf und/oder über der Schicht 44 aus dielektrischem Material mit kleinem k-Wert ausgebildet werden. Um das dritte Zwischenmetall-Dielektrikum 24 auszubilden, kann die Schicht 48 aus dielektrischem Material mit kleinem k-Wert auf und/oder über der zweiten Diffusions-Barriere-Schicht 34 ausgebildet werden. Dann kann die TEOS-Oxidschicht 50 auf und/oder über der Schicht 48 aus dielektrischem Material mit kleinem k-Wert ausgebildet werden. Um das vierte Zwischenmetall-Dielektrikum 30 auszubilden, kann eine Schicht 30 aus dielektrischem Material mit kleinem k-Wert auf und/oder über der dritten Diffusions-Barriere-Schicht 36 ausgebildet werden.
  • Es können Schichten 40, 44, 48 und 30 aus dielektrischem Material mit kleinem k-Wert benutzt werden, die aus einer Schicht aus schwarzem Diamant (Black Diamond, BD), die einen kleinen k-Wert hat (k = 3,0), bestehen, und es kann eine Blockierungs-Schicht als Diffusions-Barriere-Schichten 32, 34 und 36 benutzt werden.
  • In der in der beispielhaften 2 gezeigten BEOL kann Aluminium in einem Kontaktflächen-Teil benutzt werden. Jedes Zwischenmetall-Dielektrikum 14, 18 und 24 ist in der beispielhaften 2 so gezeigt, dass es eine Mehrschicht-Struktur hat, die Schichten 40, 44 und 48 aus dielektrischem Material mit kleinem k-Wert und TEOS-Oxidschichten 42, 46 und 50 in einer Doppelschicht geschichtet umfassen. Ausführungen sind jedoch nicht darauf begrenzt, und jedes Zwischenmetall-Dielektrikum 14, 18 und 24 kann eine Einschicht-Struktur oder eine Struktur, die mindestens drei geschichtete Schichten hat, haben.
  • Im Folgenden werden im NOR-Flash-Bauelement Effekte der BEOL-Struktur gemäß Ausführungen und Charakteristiken in jedem Bereich in der BEOL-Struktur gemäß Ausführungen mit Bezug auf die begleitenden Zeichnungen im Vergleich zu einer anderen BEOL-Struktur beschrieben.
  • 4 zeigt eine Ansicht, in der eine Nachbildung schematisch dargestellt wird. Zuerst wird die Verzögerungs-Zeitkonstante eines Stapels, bei dem Aluminium und fluoriertes Silikatglas (FSG) verwendet werden, und eines Stapels, bei dem Kupfer und ein dielektrisches Material mit kleinem k-Wert (im Folgenden "low-k" genannt) verwendet werden, schematisch simuliert, wobei HSPICE (Y-2006.09) und Rphael (Z-2006, 12-SPI) benutzt wurden. Außerdem wurde ein Prozess zur Musterherstellung für die erste Metallleitung 16 und den leitfähigen Bereich 12 des Substrats 10 unter den Prozessen zur Musterherstellung in einem BEOL-Prozess für 90 nm eingerichtet, wozu eine Fotolithografie-Einrichtung 306C ArF von Nicon Co. verwendet wurde, bei der als Lichtquelle Argonfluorid (ArF) benutzt wird, das eine Wellenlänge von 193 nm hat, die kürzer ist als die Wellenlänge von 248 nm von Kryptonfluorid (KrF).
  • In der BEOL-Struktur gemäß Ausführungen kann eine Produktionseinrichtung, die von AMAT Co. erhältlich ist, dazu benutzt werden, das low-k für das Zwischenmetall-Dielektrikum abzuscheiden, wobei ein BD-Film als IMD mit kleinem k-Wert benutzt wird, und eine Blockierungs-Schicht als Diffusions-Barriere-Schicht benutzt wird. Zusätzlich dazu kann das Zwischenmetall-Dielektrikum gemäß Ausführungen durch ein poröses Material mit kleinem k-Wert abgeschieden, durch den CMP-Prozess poliert, und verascht werden. Auch werden die elektrischen Eigenschaften, wie Widerstand des Metalls, Kontaktwiderstand, Leerlauf und Kurzschluss, usw. durch eine automatische Einrichtung zur Messung elektrischer Daten gemessen. Außerdem werden die integrierten Profile von Kupfer und low-k durch ein Transmissions-Elektronenmikroskop (TEM) und ein Rasterelektronenmikroskop (REM) analysiert.
  • Zusätzlich dazu können die folgenden Bedingungen angewendet werden, um die oben erwähnte Kupfer-Diffusion und die Formen zu ihrer Beseitigung zu zeigen. Die TiSiN-Schicht, die die Rolle der Diffusions-Barriere-Schicht ausführt, kann durch eine thermische Zerlegung eines Precursors, der als Tetrakisdimethylaminotitan (TDMAT) bezeichnet wird, in einem Zustand abgeschieden werden, in dem die Temperatur des Substrates ungefähr 350°C beträgt. Zuerst kann, um einen leeren Wafer zu testen, Oxid (ox) thermisch hergestellt werden um eine Schicht von 100,0 nm (1000 Å) auf und/oder über einem p-Typ-Wafer zu bilden, und um die Charakteristiken der Diffusions-Barriere-Schicht, die aus TiSiN besteht, zu vergleichen und zu beurteilen, kann dann sequentiell TaN(15,0 nm)/Ta(15,0 nm)/Impf-Cu(300,0 nm)/TiSiN(2 × 5,0 nm)/Al(700,0 nm) in einer geschichteten Mehrschicht-Struktur ausgebildet werden. Danach wird die Kupfer-Diffusion entsprechend der Temperatur unter Verwendung eines Anlass-Systems der Produktionseinrichtung, die von AMAT Co. erhältlich ist, unter Verwendung eines Auger-Elektronenmikroskops (AES) und einer optischen Bildeinrichtung gemessen. Als nächstes werden, um den Wafer zu testen, der das Muster hat, Muster erzeugt, um das UV-Löschen vom zweiten Kontakt 26 des aktuellen 90 nm-NOR-Flash-Bauelementes anzudauern. Für die optimale dritte Metallleitung 28 kann TiSiN(2 × 5,0 × 2)/Ti(4,0 nm)/Al(700,0 nm)/In-situ Ti/TiN (46,0 nm) abgeschieden werden. Um die Form der Kupfer-Diffusion zu untersuchen, wird die Kontaktfläche durch die optische Bildeinrichtung dargestellt, und um das Querschnitts-Bild zu untersuchen, wird der Durchkontaktierungs-Hohlraum des zweiten Kontaktes 26 durch das REM dargestellt. Der Kontaktwiderstand des zweiten Kontaktes 26 wird durch die anschließende Einrichtung zur automatischen Messung elektrischer Daten gemessen.
  • Ausführungen werden mit anderen Bauelementen verglichen, und die jeweiligen Charakteristiken solcher Ausführungen werden detailliert unter den oben angegebenen Bedingungen untersucht. 5 zeigt eine BEOL-Struktur eines NOR-Flash-Bauelementes, das eine erste Metallleitung 94 enthält, die mit Kontakt 92 eines Substrates 90 verbunden ist. Die erste Metallleitung 94 ist über einen Kontakt 100 mit einer zweiten Metallleitung 102 verbunden, und die zweite Metallleitung 102 ist über einen Kontakt 104 mit einer dritten Metallleitung 112 verbunden. Zwischenmetall-Dielektrika 96, 98, 106, 108 und 110 werden zwischen den jeweiligen Metallleitungen bereitgestellt. Jede Verdrahtung 94, 102 und 112 kann aus Aluminium bestehen, die Zwischenmetall-Dielektrika 96 und 106 können aus undotiertem Silikatglas (USG) bestehen, die Zwischenmetall-Dielektrika 98 und 108 können aus Oxid-TEOS bestehen, und im Kontaktflächen-Teil wird Aluminium benutzt. Für das 90 nm-NOR-Flash-Bauelement werden die Simulationsergebnisse der RC-Verzögerungswerte im Fall der Verwendung von Al und USG, wie in der beispielhaften 5 gezeigt, und die Simulationsergebnisse der RC-Verzögerungswerte im Fall der Verwendung von Kupfer und low-k, wie in der beispielhaften 2 gezeigt, in Tabelle 1 angegeben. Tabelle 1
    Bereich Material IC-Verzögerung
    [ps/stg]
    Metall 1 Al/USG 1099
    Cu/low-k 922
    Metall 2 Al/USG 1092
    Cu/low-k 742
  • Hierbei sind Metall 1 die ersten Metallleitungen 16 und 94, und Metall 2 sind die zweiten Metallleitungen 22 und 102. Wie man aus Tabelle 1 sehen kann, kann Metall 1 einen Gewinn der RC-Verzögerung von ungefähr 10% erzielen, wenn low-k und Cu benutzt werden, und Metall 2 kann einen Gewinn von ungefähr 40% erzielen.
  • Die 6(a) und 6(b) zeigen jeweils Querschnitts-Bilder der ersten Metallleitung 16 und des leitfähigen Bereichs 12, die durch REM, bzw. TEM erhalten wurden. Wie in der beispielhaften 6(a) und 6(b) gezeigt, in denen der geätzte, veraschte und gereinigte Graben definiert ist und ein quer verlaufender Abschnitt eines Profils der ersten Metallleitung 16, die dem CMP ausgesetzt wurde, durch das REM, bzw. TEM fotografiert wurde, kann man abschätzen, dass Phänomene der Sauerstoffplasma-Beschädigung des Grabens durch Verwendung des low-k oder ein Schrumpfen oder ein Verbiegen des low-k durch feuchtes Ablösen nicht auftreten. Auch kann die Tiefe der aktuellen ersten Metallleitung 16 220 nm sein.
  • Die 7(a) und 7(b) sind Graphen, die einen Zusammenhang zwischen Widerstand und Wahrscheinlichkeit des ersten leitfähigen Bereichs 12 und der ersten Metallleitung 16 zeigen. Spezieller zeigt 7(a) einen Graphen, der den Widerstand von Kontaktreihen (RC) zeigt, wenn die Leitungsbreite des leitfähigen Bereichs 12 auf und/oder über einem aktiven Bereich (AA) 0,118 μm und 0,130 μm ist. Die horizontale Achse zeigt den Widerstand von Kontaktreihen (Reihen-RC), und die vertikale Achse zeigt die Wahrscheinlichkeit. 7(b) zeigt einen Graphen, der den Flächenwiderstand (RS) der ersten Metallleitung 16 als Gesamt-Wahrscheinlichkeit zeigt, wenn die Leitungsbreite der ersten Metallleitung 16 0,107 μm, 0,120 μm und 0,132 μm ist. Die horizontale Achse zeigt den Flächenwiderstand RS, und die vertikale Achse zeigt die Wahrscheinlichkeit. Wie in 7(a) gezeigt, ist, wenn die Leitungsbreite des leitfähigen Bereichs 12 0,130 μm ist, der Kontaktwiderstand des leitfähigen Bereichs 12 etwas kleiner als 20 Ohm/CC, zeigt aber einige Probleme. 7(b) zeigt den Fall, wenn die Leitungsbreite der ersten Metallleitung 16 0,120 μm ist, hat aber einige Probleme.
  • Die 8(a) und 8(b) sind Graphen, die die Charakteristik einer offenen und die Charakteristik einer kurzgeschlossenen ersten Metallleitung 16 zeigen. Die horizontale Achse zeigt das Verhältnis von Breite/Abstand der ersten Metallleitung 16. Wie in den 8(a) und 8(b) gezeigt, können die Charakteristik einer offenen und die Charakteristik einer kurzgeschlossenen ersten Metallleitung 16 für einen Abstand von 0,200 μm, der bei 90 nm höchst gefährdet ist, abgeschätzt werden. Wie in 8(a) gezeigt, treten, obwohl die Leitungsbreite der ersten Metallleitung 16 auf 0,094 μm reduziert wird, einige Probleme der Charakteristik der offenen Leitung auf. Hier bedeuten die Folgen der Probleme der Charakteristik der offenen Leitung, das wegen der kleinen Leitungsbreite die Leitungsbreite nicht definiert ist oder ein Bruch-Phänomen nicht auftritt. Vom Standpunkt der Charakteristik der kurzgeschlossenen Leitung kann, obwohl die Leitungsbreite der ersten Metallleitung 16 auf 0,106 μm erhöht wird, da der Leckstrom 2 pA oder weniger ist, abgeschätzt werden, dass die Kurzschluss-Charakteristik nicht auftritt.
  • 9 zeigt ein Bild eines Querschnitts des ersten Kontaktes 20 und der zweiten Metallleitung 22, das durch REM erhalten wurde. Die Formen des ersten Kontaktes 20 und der zweiten Metall-Verdrahtung 22, können durch Abscheidung einer Schicht 40 aus Material mit kleinem k-Wert (k = 3) und durch Bedecken mit TEOS 42 als erstes Zwischenmetall-Dielektrikum 14, Herstellen eines Damaszener-Musters, Abscheiden der ersten Diffusions-Barriere-Schicht 32 und von Kupfer, Ausfüllen der Lücken mit galvanischer Beschichtung (ECP) und dann Durchführen einer CMP erzielt werden. Wie in 9 gezeigt, treten die Phänomene des Schrumpfens und Verbiegens durch Verwendung von low-k nicht auf. Die tatsächliche Tiefe der zweiten Metallleitung 22 ist 254 nm, und die Tiefe des ersten Kontaktes 20 ist ungefähr 309 nm.
  • Die 10(a) und 10(b) sind Graphen, die einen Zusammenhang zwischen Widerstand und Wahrscheinlichkeit des ersten Kontaktes 20 und der zweiten Metallleitung 22 zeigen. 10(a) zeigt den Zusammenhang zwischen dem Kontaktwiderstand und der Wahrscheinlichkeit, wenn die Leitungsbreite der zweiten Metallleitung 22 0,16 μm, 0,170 μm und 0,180 μm ist. Die horizontale Achse zeigt den Reihen-RC, und die vertikale Achse zeigt die Wahrscheinlichkeit. 10(b) zeigt den Flächenwiderstand RS und die Gesamt-Wahrscheinlichkeit der zweiten Me tallleitung 22, wenn die Leitungsbreite der zweiten Metallleitung 22 0,155 μm, 0,170 μm und 0,190 μm ist. Die horizontale Achse zeigt den Flächenwiderstand, und die vertikale Achse zeigt die Wahrscheinlichkeit. Man kann aus 10(a) abschätzen, dass die Verteilung des Kontaktwiderstandes des ersten Kontaktes 20 gut ist, und man kann aus 10(b) abschätzen, dass die Widerstands-Charakteristik der zweiten Metallleitung 22 gut ist.
  • Die 11(a) und 11(b) zeigen Querschnitts-Bilder des zweiten Kontaktes 26 und der dritten Metallleitung 28, die durch TEM, bzw. REM erhalten wurden. Wie in der 11(a) gezeigt, treten die Phänomene der Schrumpfens und Verbiegens durch low-k nicht auf. Wie in 11(b) gezeigt, werden jedoch Fehlerstellen in einem Teil der obersten Oberfläche des zweiten Kontaktes 26 beobachtet.
  • 12(a) zeigt Bilder der Aluminium-Kontaktfläche, 12(b) zeigt REM-Bilder einer dritten Metallleitung 28, und 12(c) zeigt AES-Bilder einer dritten Metallleitung 28. Wie in 12(a) gezeigt, kann man abschätzen, dass wenn Durchkontaktierungs-Hohlräume im zweiten Kontakt 26 auftreten, Kupfer zur obersten Oberfläche der Kontaktfläche diffundiert ist, so dass ihre Oberseite verunreinigt ist. Wie in 12(b) und 12(c) gezeigt, kann man durch Analyse des Teils der Kupfer-Diffusion mit REM und AEC abschätzen, dass die Kupfer-Komponente in der dritten Metallleitung 28 tatsächlich erkannt wird. Die Kupfer-Diffusion zur Kontaktfläche verursacht Probleme beim nachfolgenden Bonden und bei der Gehäuseunterbringung.
  • Die 13(a) und 13(b) sind Graphen, die die Widerstands-Charakteristik des zweiten Kontaktes 26 und der dritten Me tallleitung 28 erklären. Wie in 13(a) gezeigt, kann wenn die Leitungsbreite des zweiten Kontaktes 26 0,200 μm, 0,210 μm und 0,220 μm ist, der Zusammenhang zwischen dem Kontaktwiderstand und der Wahrscheinlichkeit des zweiten Kontaktes 26 abgeschätzt werden. Wie in 13(b) gezeigt, kann wenn die Leitungsbreite der dritten Metallleitung 28 0,400 μm, 0,440 μm und 0,480 μm ist, der Zusammenhang zwischen dem Flächenwiderstand und der Gesamt-Wahrscheinlichkeit der dritten Metallleitung 28 abgeschätzt werden. In der geschichteten Struktur aus Ti(11,0 nm)/Al(700,0 nm)/In-situ Ti/TiN (5,0 nm/36,0 nm) auf und/oder über der untersten Oberfläche der dritten Metallleitung 28 wird, wenn die Dicke des als Diffusions-Barriere-Schicht benutzten TiSiN ungefähr 2 × 5,0 nm dünn ist, die Rolle der Verhinderung der Kupfer-Diffusion nicht vollständig ausgeführt. Dadurch kann Kupfer zur dritten Metallleitung 28 diffundieren, wie in den 11 und 12 gezeigt.
  • Die 14(a) bis 14(c) zeigen Bilder der Kupfer-Diffusions-Form entsprechend einer Ausheil-Bedingung, die durch das optische Gerät und das REM erhalten wurden. Wenn das Ausheilen für 30 Minuten in einer N2-Atmosphäre bei 350°C, 400°C, 450°C durchgeführt wird, erhält man die in den 14(a) bis 14(c) gezeigten Bilder. Wie in 14(a) gezeigt, kann man, wenn der Ausheil-Prozess bei 350°C durchgeführt wird, abschätzen, dass der Kontaktflächen-Teil (linkes Bild) sauber ist, und als Bestätigungs-Ergebnis des Querschnitts (rechtes Bild) der Kontaktfläche mit einem FIB-Bild (Focused Ion Beam) tritt keine Kupfer-Diffusion auf. Wie in 14(c) gezeigt, kann man jedoch als Ergebnis des Ausheil-Prozesses bei 450°C aus dem FIB abschätzen, dass die Kontaktfläche beträchtlich verschmutzt ist, und sich die gesamte Aluminium-Kontaktfläche in Kupfer geändert hat. Daher kann man abschätzen. Dass die Kupfer-Diffusion zur Aluminium- Kontaktfläche durch eine Wärmebehandlung, die ein nachfolgender Prozess ist, verursacht wird.
  • Die 15(a) und 15(b) zeigen Querschnitts-Bilder der erhaltenen Kontaktfläche (linkes Bild) und der dritten Metallleitung 28, wenn TiSiN (2 × 10,0) und TiSiN (4 × 5,0) jeweils als dritte Diffusions-Barriere-Schicht 36 benutzt werden. Die in den 15(a) und 15(b) gezeigten Bilder erhält man, wenn man das Ausheilen für 30 Minuten bei 450°C unter Verwendung von TiSiN (2 × 10,0) und TiSiN (4 × 5,0) als dritte Diffusions-Barriere-Schicht 36 durchführt und dies dann mit der optischen Einrichtung und dem FIB überprüft. Wenn man TiSiN (2 × 10,0) als dritte Diffusions-Barriere-Schicht 36 benutzt, kann man aus 15(a) abschätzen, dass lokal ein Teil der Kupfer-Diffusion vorliegt. Wenn jedoch TiSiN (4 × 5,0) als dritte Diffusions-Barriere-Schicht 36 benutzt wird, kann man aus 15(b) abschätzen, dass Kupfer nicht diffundiert.
  • Die 16(a) und 16(b) zeigen FIB-Bilder eines Zentrums und eines Randes, wenn TiSiN (4 × 5,0) als dritte Diffusions-Barriere-Schicht 36 aktuell auf ein 90 nm-NOR-Flash-Bauelement angewendet wird. Wie man aus 16(a) und 16(b) abschätzen kann, zeigt sich bei Verwendung von TiSiN (4 × 5,0) als dritte Diffusions-Barriere-Schicht 36 an keinem Teil eine Kupfer-Diffusion, die erzeugt wird, wenn man TiSiN (2 × 5,0) als dritte Diffusions-Barriere-Schicht 36 verwendet.
  • Die 17(a) und 17(b) sind Ergebnisse elektrischer Messdaten in einer Ziel-Größe des Flächenwiderstandes RC und des Kontaktwiderstandes RC eines vollen Punktes auf einem Einheits-Wafer, wenn TiSiN (2 × 5,0) und TiSiN (4 × 5,0) als dritte Diffusions-Barriere-Schicht 36 benutzt werden. 17(a) ist eine Ansicht, die die Widerstandscharakteristik pro Art jeder Dif fusions-Barriere-Schicht zeigt, wenn die Leitungsbreite des zweiten Kontaktes 26 0,210 μm beträgt. 17(b) ist eine Ansicht, die die Widerstandscharakteristik pro Art jeder Diffusions-Barriere-Schicht zeigt, wenn die Leitungsbreite der dritten Metallleitung 28 0,44 μm beträgt. Wie in 17(a) gezeigt, kann man abschätzen, dass obwohl der Kontaktwiderstand durch die Dicke von TiSiN vom Standpunkt von RC erhöht wird, einige Probleme vorliegen. Wie in 17(b) gezeigt, kann selbst vom Standpunkt des Flächenwiderstandes abgeschätzt werden, dass einige Unterschiede zwischen TiSiN (2 × 5,0) und TiSiN (4 × 5,0) vorliegen.
  • Man kann abschätzen, dass die Verwendung von Cu/low-k, wie in 2 gezeigt, bei der RC-Verzögerung um 40% oder mehr besser ist, als die Verwendung von Al/USG, wie in 5 gezeigt. Man kann auch abschätzen, dass der Kontaktwiderstand vom leitfähigen Bereich 12 zum zweiten Kontakt 26 und der Flächenwiderstand von der ersten Metallleitung 16 zur dritten Metallleitung 28 ausgezeichnet sind. Man kann abschätzen, dass einige Probleme beim Leerlauf und beim Kurzschluss der ersten Metallleitung 16 vorliegen, die den am meisten gefährdeten Teil des 90-nm-Prozesses darstellt. Man kann aus den Bildern abschätzen, die man durch REM und TEM erhält, dass die durch Sauerstoffplasma verursachte Beschädigung des Grabens, weil low-k verwendet wird, oder die Phänomene des Schrumpfens und Verbiegens des low-k durch feuchtes Ablösen nicht auftreten. Man kann jedoch abschätzen, dass die Kupfer-Diffusion zur Kontaktfläche, die bei Verwendung von Al und USG im Cu/low-k-BELO-Prozess nicht erzeugt wird, durch die Wärmebehandlung auftreten kann, die der nachfolgende Prozess ist. Man kann jedoch durch das REM-Bild abschätzen, dass die Diffusion von Kupfer zur dritten Metallleitung 28 verhindert werden kann, da TiSiN (4 × 5,0) als dritte Diffusions-Barriere-Schicht 36 benutzt wird.
  • Wie oben beschrieben, werden beim NOR-Flash-Bauelement und beim Verfahren zur Herstellung des Bauelementes die Kupferleitungen 16, 20, 22 und 26 und low-k (k = 3,0) für die BEOL verwendet, was es ermöglicht, die Verzögerungs-Zeitkonstante um 40% gegenüber der Verwendung von USG und Aluminium zu verbessern, die durch Sauerstoffplasma verursachte Beschädigung des Grabens, weil low-k verwendet wird, oder das Auftreten der Phänomene des Schrumpfens und Verbiegens des low-k durch feuchtes Ablösen zu verhindern, und das Phänomen der Kupfer-Diffusion zur Aluminium-Kontaktfläche vorher zu beseitigen, indem TiSiN (4 × 5,0) als dritte Diffusions-Barriere-Schicht 36 auf der untersten Oberfläche des Aluminiums, das die dritte Metallleitung 28 ist, benutzt wird.
  • Obwohl Ausführungen mit Bezug auf eine Anzahl erläuternder Ausführungsbeispiele beschrieben wurden, sei bemerkt, dass zahlreiche weitere Abwandlungen und Ausführungen durch Fachleute entworfen werden können, welche unter Prinzip und Umfang der vorliegenden Offenbarung fallen. Insbesondere sind verschiedene Änderungen und Abwandlungen der Bauteile und/oder der Anordnungen der fraglichen Kombinationsanordnung innerhalb des Umfangs der Offenbarung, der Zeichnungen und der beigefügten Ansprüche möglich. Zusätzlich zu Änderungen und Abwandlungen der Bauteile und/oder der Anordnungen sind alternative Verwendungen gleichfalls für Fachleute ersichtlich.
  • ZITATE ENTHALTEN IN DER BESCHREIBUNG
  • Diese Liste der vom Anmelder aufgeführten Dokumente wurde automatisiert erzeugt und ist ausschließlich zur besseren Information des Lesers aufgenommen. Die Liste ist nicht Bestandteil der deutschen Patent- bzw. Gebrauchsmusteranmeldung. Das DPMA übernimmt keinerlei Haftung für etwaige Fehler oder Auslassungen.
  • Zitierte Patentliteratur
    • - KR 10-2007-0062806 [0001]

Claims (20)

  1. Halbleiterbauelement, umfassend: ein Substrat, das einen leitfähigen Bereich hat; eine erste Zwischenmetall-Dielektrikum-Schicht, die auf dem Substrat ausgebildet ist; eine erste Metallleitung, die auf dem leitfähigen Bereich ausgebildet ist; eine zweite Zwischenmetall-Dielektrikum-Schicht, die auf der ersten Metallleitung und dem ersten Zwischenmetall-Dielektrikum ausgebildet ist; einen ersten Kontakt, der sich durch die zweite Zwischenmetall-Dielektrikum-Schicht erstreckt; und eine zweite Metallleitung, die mit der ersten Metallleitung über den ersten Kontakt verbunden ist, wobei mindestens einer von erstem Kontakt und den ersten und zweiten Metallleitungen Kupfer, und mindestens eine der ersten und zweiten Zwischenmetall-Dielektrikum-Schichten ein schwach dielektrisches Material aufweisen.
  2. Das Halbleiterbauelement gemäß Anspruch 1, ferner umfassend: eine dritte Zwischenmetall-Dielektrikum-Schicht, die auf der zweiten Metallleitung und der zweiten Zwischenmetall-Dielektrikum-Schicht ausgebildet ist; einen zweiten Kontakt, der sich durch die dritte Zwischenmetall-Dielektrikum-Schicht erstreckt; und eine dritte Metallleitung, die mit der zweiten Metallleitung über den zweiten Kontakt verbunden ist, wobei der zweite Kontakt Kupfer umfasst, und die dritte Zwischenmetall-Dielektrikum-Schicht ein dielektrisches Material mit kleiner Dielektrizitätskonstante (low-k) umfasst.
  3. Das Halbleiterbauelement gemäß Anspruch 2, wobei die dritte Metallleitung aus mindestens einem von Kupfer und Aluminium besteht.
  4. Das Halbleiterbauelement gemäß Anspruch 2, ferner umfassend: eine erste Diffusions-Barriere-Schicht, die zwischen der ersten Metallleitung und der zweiten Zwischenmetall-Dielektrikum-Schicht ausgebildet ist; und eine zweite Diffusions-Barriere-Schicht, die zwischen der zweiten Metallleitung und der dritten Zwischenmetall-Dielektrikum-Schicht ausgebildet ist.
  5. Das Halbleiterbauelement gemäß einem der Ansprüche 2 bis 4, wobei die dritte Diffusions-Barriere-Schicht, die zwischen der dritten Zwischenmetall-Dielektrikum-Schicht und der dritten Metallleitung ausgebildet ist, eine Mehrschicht-Struktur aufweist.
  6. Das Halbleiterbauelement gemäß Anspruch 5, wobei die dritte Diffusions-Barriere-Schicht aus TiSiN besteht.
  7. Vorrichtung gemäß Anspruch 6, wobei die Mehrschicht-Struktur 2–4 Schichten umfasst.
  8. Das Halbleiterbauelement gemäß Anspruch 7, wobei die Dicke jeder Schicht zwischen 1,5 nm und 10,0 nm (15 Å bis 100 Å) liegt.
  9. Das Halbleiterbauelement gemäß einem der Ansprüche 1 bis 8, wobei mindestens eine der ersten und zweiten Zwischenmetall-Dielektrikum-Schichten eine Mehrschicht-Struktur hat.
  10. Das Halbleiterbauelement gemäß Anspruch 9, wobei die Mehrschicht-Struktur folgendes umfasst: eine Schicht aus dielektrischem Material mit kleinem k-Wert; und eine TEOS-Oxidschicht, die auf der Schicht aus dielektrischem Material mit kleinem k-Wert ausgebildet ist.
  11. Das Halbleiterbauelement gemäß einem der Ansprüche 2 bis 10, wobei die dritte Zwischenmetall-Dielektrikum-Schicht folgendes umfasst: eine Schicht aus dielektrischem Material mit kleinem k-Wert; und eine TEOS-Oxidschicht, die auf der Schicht aus dielektrischem Material mit kleinem k-Wert ausgebildet ist.
  12. Verfahren, umfassend: Ausbilden eines leitfähigen Bereichs in einem Substrat; Ausbilden einer ersten Zwischenmetall-Dielektrikum-Schicht auf dem Substrat, wobei die erste Zwischenmetall-Dielektrikum-Schicht einen Graben hat, der den leitfähigen Bereich freilegt; Ausbilden einer ersten Metallleitung im Graben; Ausbilden einer zweiten Zwischenmetall-Dielektrikum-Schicht auf der ersten Metallleitung und dem ersten Zwischenmetall-Dielektrikum, wobei die zweite Zwischenmetall-Dielektrikum-Schicht ein Loch hat, das die erste Metallleitung freilegt; Ausbilden eines ersten Kontaktes und einer zweiten Metallleitung in dem Loch, wobei mindestens einer von erstem Kontakt und den ersten und zweiten Metallleitungen aus Kupfer und mindestens eine der ersten und zweiten Zwischenmetall-Dielektrikum-Schicht aus einem dielektrischen Material mit kleinem k-Wert besteht.
  13. Das Verfahren gemäß Anspruch 12, wobei der erste Kontakt und die zweite Metallleitung durch einen Damaszener-Prozess ausgebildet werden.
  14. Das Verfahren gemäß einem der Ansprüche 12 bis 13, das nach dem Ausbilden des ersten Kontaktes und der zweiten Metallleitung ferner umfasst: Ausbilden einer dritten Zwischenmetall-Dielektrikum-Schicht auf der zweiten Metallleitung und der zweiten Zwischenmetall-Dielektrikum-Schicht, wobei die dritte Zwischenmetall-Dielektrikum-Schicht eine Durchkontaktierung hat, die die zweite Metallleitung freilegt; Ausbilden eines zweiten Kontaktes in der Durchkontaktierung: Ausbilden einer dritten Metallleitung, die mit dem zweiten Kontakt verbunden ist, wobei der zweite Kontakt ais Kupfer besteht, und die dritte Zwischenmetall-Dielektrikum-Schicht aus einem dielektrischen Material mit kleinem k-Wert besteht.
  15. Das Verfahren gemäß Anspruch 14, ferner folgende Schritte unfassend: Ausbilden einer ersten Diffusions-Barriere-Schicht auf der ersten Metallleitung und der ersten Zwischenmetall-Dielektrikum-Schicht, nachdem die erste Metallleitung ausgebildet wurde und bevor die zweite Zwischenmetall-Dielektrikum-Schicht ausgebildet wird; Ausbilden einer zweiten Diffusions-Barriere-Schicht auf der zweiten Metallleitung und der zweiten Zwischenmetall-Dielektrikum-Schicht, nachdem der erste Kontakt und die zweite Metallleitung ausgebildet wurden und bevor die dritte Zwischenmetall-Dielektrikum-Schicht ausgebildet wird; Ausbilden einer dritten Diffusions-Barriere-Schicht auf dem zweiten Kontakt, nachdem der zweite Kontakt ausgebildet wurde und bevor die dritte Metallleitung ausgebildet wird, wobei die zweite Zwischenmetall-Dielektrikum-Schicht auf der ersten Diffusions-Barriere-Schicht ausgebildet wird, die dritte Zwischenmetall-Dielektrikum-Schicht auf der zweiten Diffusions-Barriere-Schicht ausgebildet wird, und die dritte Metallleitung auf der dritten Diffusions-Barriere-Schicht ausgebildet wird.
  16. Das Verfahren gemäß Anspruch 15, wobei die dritte Diffusions-Barriere-Schicht aus TiSiN besteht.
  17. Das Verfahren gemäß einem der Ansprüche 15 bis 16, wobei die dritte Diffusions-Barriere-Schicht aus einer Mehrschicht-Struktur besteht, die 2–4 Schichten hat.
  18. Das Verfahren gemäß Anspruch 17, wobei die Dicke jeder Schicht der Mehrschicht-Struktur zwischen 1,5 nm und 10,0 nm (15 Å bis 100 Å) liegt.
  19. Das Verfahren gemäß einem der Ansprüche 15 bis 18, wobei das Ausbilden der ersten Zwischenmetall-Dielektrikum-Schicht folgendes umfasst: Ausbilden einer ersten Schicht aus einem dielektrischen Material mit kleinem k-Wert auf dem Substrat; Ausbilden einer ersten TEOS-Oxidschicht auf der Schicht aus einem dielektrischen Material mit kleinem k-Wert.
  20. Das Verfahren gemäß Anspruch 19, wobei das Ausbilden der zweiten Zwischenmetall-Dielektrikum-Schicht folgendes umfasst: Ausbilden einer zweiten Schicht aus einem dielektrischen Material mit kleinem k-Wert auf der ersten Metallleitung und der ersten TEOS-Oxidschicht; und Ausbilden einer zweiten TEOS-Oxidschicht auf der Schicht aus einem dielektrischen Material mit kleinem k-Wert.
DE102008029792A 2007-06-26 2008-06-24 NOR-flash-Bauelement und Verfahren zur Herstellung des Bauelementes Withdrawn DE102008029792A1 (de)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020070062806A KR100824637B1 (ko) 2007-06-26 2007-06-26 Nor 플래쉬 디바이스 및 그의 제조 방법
KR10-2007-0062806 2007-06-26

Publications (1)

Publication Number Publication Date
DE102008029792A1 true DE102008029792A1 (de) 2009-01-08

Family

ID=39572372

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102008029792A Withdrawn DE102008029792A1 (de) 2007-06-26 2008-06-24 NOR-flash-Bauelement und Verfahren zur Herstellung des Bauelementes

Country Status (6)

Country Link
US (1) US20090001589A1 (de)
JP (1) JP2009010386A (de)
KR (1) KR100824637B1 (de)
CN (1) CN101335256B (de)
DE (1) DE102008029792A1 (de)
TW (1) TW200908239A (de)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9456734B2 (en) 2010-10-12 2016-10-04 Optiscan Pty Ltd Scanner for an endoscope
US8896125B2 (en) * 2011-07-05 2014-11-25 Sony Corporation Semiconductor device, fabrication method for a semiconductor device and electronic apparatus
US9269668B2 (en) 2014-07-17 2016-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect having air gaps and polymer wrapped conductive lines
US10283452B2 (en) 2017-09-15 2019-05-07 Yangtze Memory Technology Co., Ltd. Three-dimensional memory devices having a plurality of NAND strings
CN107658317B (zh) * 2017-09-15 2019-01-01 长江存储科技有限责任公司 一种半导体装置及其制备方法
JP7002899B2 (ja) * 2017-09-22 2022-01-20 キオクシア株式会社 記憶装置
US10813720B2 (en) 2017-10-05 2020-10-27 Align Technology, Inc. Interproximal reduction templates
JP2021150574A (ja) * 2020-03-23 2021-09-27 キオクシア株式会社 半導体装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070062806A (ko) 2005-12-13 2007-06-18 엘지전자 주식회사 전자렌지 제어부의 조명장치

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0524957A (ja) * 1991-07-24 1993-02-02 Nok Corp 薄膜積層方法
KR19990016850A (ko) * 1997-08-20 1999-03-15 윤종용 불휘발성 메모리 장치의 제조 방법
KR100247225B1 (ko) * 1997-08-28 2000-03-15 윤종용 불휘발성 메모리 장치의 제조 방법
JP4173307B2 (ja) * 1999-06-24 2008-10-29 株式会社ルネサステクノロジ 半導体集積回路の製造方法
US6635528B2 (en) * 1999-12-22 2003-10-21 Texas Instruments Incorporated Method of planarizing a conductive plug situated under a ferroelectric capacitor
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
JP2004363516A (ja) * 2003-06-09 2004-12-24 Sony Corp 埋め込み配線の形成方法
JP2005005383A (ja) * 2003-06-10 2005-01-06 Toshiba Corp 半導体装置および半導体装置の製造方法
JP2007042662A (ja) * 2003-10-20 2007-02-15 Renesas Technology Corp 半導体装置
JP4489618B2 (ja) * 2005-03-14 2010-06-23 株式会社ルネサステクノロジ 半導体装置の製造方法
KR20070063934A (ko) * 2005-12-16 2007-06-20 충청북도 플래시 메모리 소자 및 제조 방법과 그의 구동 방법
US20080246152A1 (en) * 2007-04-04 2008-10-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with bonding pad

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070062806A (ko) 2005-12-13 2007-06-18 엘지전자 주식회사 전자렌지 제어부의 조명장치

Also Published As

Publication number Publication date
JP2009010386A (ja) 2009-01-15
TW200908239A (en) 2009-02-16
CN101335256A (zh) 2008-12-31
KR100824637B1 (ko) 2008-04-25
CN101335256B (zh) 2010-09-29
US20090001589A1 (en) 2009-01-01

Similar Documents

Publication Publication Date Title
DE102008029792A1 (de) NOR-flash-Bauelement und Verfahren zur Herstellung des Bauelementes
DE102016100002B4 (de) Verfahren zum Herstellen einer Halbleitervorrichtung
DE102014117338B4 (de) Verfahren zum ausbilden einer verbindungsstruktur für eine halbleitervorrichtung
DE112011103146B4 (de) Verfahren zum Verbessern der mechanischen Eigenschaften von Halbleiterzwischenverbindungen mit Nanopartikeln
DE102018203792B4 (de) Nicht-Dorn-Schnittbildung
DE102005020060B4 (de) Verfahren zum Strukturieren eines Dielektrikums mit kleinem ε unter Anwendung einer Hartmaske
DE102004037089A1 (de) Technik zur Herstellung einer Passivierungsschicht vor dem Abscheiden einer Barrierenschicht in einer Kupfermetallisierungsschicht
DE102004042169B4 (de) Technik zur Erhöhung des Füllvermögens in einem elektrochemischen Abscheideprozess durch Verrundung der Kanten und Gräben
DE102004021636B4 (de) Halbleitervorrichtung mit selbstausgerichtetem vergrabenem Kontaktpaar und Verfahren zum Ausbilden desselben
DE10054109C2 (de) Verfahren zum Bilden eines Substratkontakts in einem Feldeffekttransistor, der über einer vergrabenen Isolierschicht gebildet ist
DE102016117486A1 (de) Halbleitervorrichtung und herstellungsverfahren dafür
DE102005057076A1 (de) Technik zum Verbessern der Haftung von Metallisierungsschichten durch Vorsehen von Platzhalterkontaktdurchführungen
DE112019003120B4 (de) Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren dafür
DE102011002769B4 (de) Halbleiterbauelement und Verfahren zur Herstellung einer Hybridkontaktstruktur mit Kontakten mit kleinem Aspektverhältnis in einem Halbleiterbauelement
DE102017208466B4 (de) Verfahren zum Bilden einer niederohmschen Edelmetallzwischenverbindung
DE102006056625B4 (de) Verfahren und Teststruktur zum Bestimmen von Fokuseinstellungen in einem Lithographieprozess auf der Grundlage von CD-Messungen
DE102010063780A1 (de) Halbleiterbauelement mit einer Kontaktstruktur mit geringerer parasitärer Kapazität
DE112018004421T5 (de) Damaszener-dünnschichtwiderstand (tfr) in polymetall-dielektrikum und verfahren zur herstellung
DE102019203224B4 (de) Selbstausgerichtete Mehrfachstrukturierungsprozesse mit geschichteten Dornen
DE112019002455T5 (de) Dünnfilmwiderstand in einer integrierten schaltung und herstellungsverfahren
DE102005026315B4 (de) Verfahren zur Herstellung eines Halbleiterbauelements
DE112011101750T5 (de) Verfahren und Struktur zur Verbesserung der Leitfähigkeit enger kupfergefüllter Durchkontaktierungen
DE102008054069B4 (de) Reduzierte Scheibendurchbiegung in Halbleitern durch Verspannungstechniken im Metallisierungssystem
DE102004033825B4 (de) Verfahren zur Herstellung einer Kondensatoranordnung sowie zugehörige Kondensatoranordnung
DE112018003821T5 (de) Systeme und verfahren zum ausbilden eines in einer integrierten schaltungsanordnung integrierten dünnfilmwiderstandes

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8139 Disposal/non-payment of the annual fee