DE102005057057A1 - Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion - Google Patents

Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion Download PDF

Info

Publication number
DE102005057057A1
DE102005057057A1 DE102005057057A DE102005057057A DE102005057057A1 DE 102005057057 A1 DE102005057057 A1 DE 102005057057A1 DE 102005057057 A DE102005057057 A DE 102005057057A DE 102005057057 A DE102005057057 A DE 102005057057A DE 102005057057 A1 DE102005057057 A1 DE 102005057057A1
Authority
DE
Germany
Prior art keywords
copper
deposition
plasma
environment
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102005057057A
Other languages
English (en)
Other versions
DE102005057057B4 (de
Inventor
Jörg Hohage
Matthias Lehr
Volker Kahlert
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority to DE102005057057.7A priority Critical patent/DE102005057057B4/de
Priority to US11/531,084 priority patent/US7678699B2/en
Publication of DE102005057057A1 publication Critical patent/DE102005057057A1/de
Application granted granted Critical
Publication of DE102005057057B4 publication Critical patent/DE102005057057B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Es wird eine Technik beschrieben, in der eine Barrieren/Deckschicht für eine kupferbasierte Metallleitung unter Anwendung einer thermisch chemischen Behandlung mit einer Oberflächenmodifizierung auf der Grundlage eines Silizium enthaltenden Vorstufenmaterials ausgeführt wird, woran sich eine in situ plasmagestützte Abscheidung von Siliziumnitrid und/oder Stickstoff-angereichertem Siliziumkarbid anschließt. Die thermisch chemische Vorbehandlung wird auf der Grundlage einer Ammoniak/Stickstoff-Mischung in Abwesenheit einer Plasmaumgebung ausgeführt.

Description

  • GEBIET DER VORLIEGENDEN ERFINDUNG
  • Im Allgemeinen betrifft die vorliegende Erfindung die Herstellung integrierter Schaltungen und betrifft insbesondere die Herstellung von Metallisierungsschichten mit äußerst leitfähigen Metallen, etwa Kupfer, die in ein dielektrisches Material eingebettet sind, das eine Deckschicht aufweist, die als eine effiziente Diffusionsbarrierenschicht für das Metall dient.
  • BESCHREIBUNG DES STANDS DER TECHNIK
  • In modernen integrierten Schaltungen haben minimale Strukturgrößen, etwa die Kanallänge von Feldeffekttransistoren, den Bereich deutlich unter 1 μm erreicht, wodurch das Leistungsverhalten dieser Schaltungen im Hinblick auf die Geschwindigkeit und/oder Leistungsaufnahme ständig verbessert wird. Da die Größe der einzelnen Schaltungselemente deutlich reduziert ist, wodurch beispielsweise die Schaltgeschwindigkeit der Transistorelemente verbessert ist, ist auch der verfügbare Platz für Verbindungsleitungen, die die einzelnen Schaltungselemente elektrisch miteinander verbinden, ebenso reduziert. Folglich müssen die Abmessungen dieser Verbindungsleitungen reduziert werden, um dem geringen Anteil an verfügbarem Platz und der erhöhten Anzahl an Schaltungselementen pro Chipfläche Rechnung zu tragen.
  • In integrierten Schaltungen mit minimalen Abmessungen von ungefähr 0,35 μm und weniger ist ein begrenzender Faktor des Leistungsverhaltens die Signalausbreitungsverzögerung, die durch die Schaltgeschwindigkeit der Transistorelemente hervorgerufen wird. Wenn die Kanallänge dieser Transistorelemente nunmehr 0,1 μm oder deutlich weniger aufweist, zeigt es sich, dass die Signalausbreitungsverzögerung nicht mehr durch die Feldeffekttransistoren begrenzt ist, sondern dass diese auf Grund der erhöhten Schaltungsdichte durch die Verbindungsleitungen beschränkt ist, da die parasitäre Kapazität zwischen Leitungen erhöht und die Leitfähigkeit der Leitungen auf Grund ihrer geringeren Querschnittsfläche reduziert ist. Die parasitären RC-Zeitkonstanten erfordern daher das Einführen einer neuen Art von Material zur Herstellung der Metallisierungsschicht.
  • Herkömmlicherweise werden Metallisierungsschichten aus einem dielektrischen Schichtstapel mit beispielsweise Siliziumdioxid und/oder Siliziumnitrid hergestellt, wobei Aluminium als typisches Metall dient. Da Aluminium eine merkliche Elektromigration bei höheren Stromdichten aufweist, die in integrierten Schaltungen mit äußerst größenreduzierten Strukturelementen notwendig sein können, wird Aluminium zunehmend durch Kupfer ersetzt, das einen deutlich geringeren elektrischen Widerstand und eine höhere Widerstandsfähigkeit gegen Elektromigration aufweist. Für äußerst anspruchsvolle Anwendungen werden zusätzlich zur Verwendung von Kupfer und/oder Kupferlegierungen die gut etablierten und gut verstandenen dielektrischen Materialien Siliziumdioxid (ε ≈ 4,2) und Siliziumnitrid (ε > 5) durch sogenannte dielektrischen Materialien mit kleinem ε ersetzt. Der Übergang von der gut bekannten und gut etablierten Aluminium/Siliziumdioxidmetallisierungsschicht zu einer Metallisierungsschicht auf Kupferbasis, möglicherweise in Verbindung mit einem dielektrischen Material mit kleinem ε, ist jedoch von einer Reihe von Problemen begleitet, die es zu lösen gilt.
  • Beispielsweise kann Kupfer nicht in relativ großen Mengen in effizienter Weise durch gut etablierte Abscheideverfahren, etwa die chemische und physikalische Dampfabscheidung, aufgebracht werden. Des weiteren kann Kupfer nicht in effizienter Weise durch gut etablierte anisotrope Ätzprozesse strukturiert werden. Daher wird die sogenannte Damaszener- oder Einlegetechnik häufig bei der Herstellung von Metallisierungsschichten mit Kupferleitungen eingesetzt. Typischerweise wird in der Damaszener-Technik die dielektrische Schicht abgeschieden und anschließend so strukturiert, dass diese Gräben und Kontaktlöcher aufweist, die nachfolgend mit Kupfer durch Planierungsverfahren, etwa Elektroplattieren oder stromloses Plattieren gefüllt werden. Das Bilden von Metallisierungsschichten auf Kupferbasis in standardmäßigen dielektrischen Materialien, etwa Siliziumdioxid, und einer Reihe von Dielektrika mit ε wird gegenwärtig häufig ausgeführt, indem eine dielektrische Diffusionsbarrierenschicht auf der Oberseite des kupferbasierten Gebiets vorgesehen wird, da Kupfer gut in einer Vielzahl von Dielektrika, etwa Siliziumdioxid und vielen Dielektrika mit kleinem ε diffundiert. Ferner soll die Diffusion von Feuchtigkeit und Sauerstoff in das kupferbasierte Metall unterdrückt werden, da Kupfer leicht oxidierte Bereiche ausbildet, wodurch möglicherweise die Eigenschaften der kupferbasierten Metallleitung im Hinblick auf die Haftung, Leitfähigkeit und die Widerstandsfähigkeit gegen Elektromigration beeinträchtigt werden. Beispielsweise ist Siliziumnitrid als eine wirksame Diffusionsbarriere bekannt und kann als eine Deckschicht eingesetzt werden. In anderen Fällen, wenn die moderat hohe Permittivität des Siliziumnitrids als ungeeignet erachtet wird, wird häufig stickstoffangereichertes Siliziumkarbid (SiCN) als eine Kupferdiffusionsbarriere eingesetzt. Trotz der diffusionhindernden Wirkung der Siliziumnitrideckschichten und der siliziumkarbidbasierten Deckschichten zeigt es sich, dass die Widerstandsfähigkeit des Kupfers gegen durch elektrischen Strom hervorgerufenen Materialtransport (Elektromigration) deutlich von den Eigenschaften der Grenzfläche zwischen dem kupferbasierten Metall und der angrenzenden Deckschicht abhängt. Daher ist es in modernen integrierten Schaltungen mit hohen Stromdichten im Allgemeinen vorteilhaft, den Abscheideprozess für die Deckschicht so zu gestalten, dass eine gewünschte hohe Haftung und damit ein hohes Leistungsvermögen im Hinblick auf die Elektromigration erreicht wird. Zu diesem Zweck werden entsprechende Abscheideverfahren mit vorhergehenden plasmagestützten Reinigungsschritten typischerweise ausgeführt. Mit Bezug zu den 1a und 1b wird nunmehr ein typischer konventioneller Prozessablauf zur Ausbildung einer SiCN-Deckschicht detaillierter beschrieben.
  • 1a zeigt schematisch eine Querschnittsansicht eines Halbleiterbauelements 100 vor der Herstellung einer Siliziumnitrid oder einer stickstoffangereicherten Siliziumkarbiddeckschicht auf einem kupferenthaltenden Metallgebiet. Das Halbleiterbauelement 100 umfasst ein Substrat 101, das Schaltungselemente, etwa Transistoren, Kondensatoren, und dergleichen enthalten kann, die der Einfachheit halber nicht gezeigt sind. Des weiteren kann das Substrat darauf ausgebildet eine oder mehrere Metallisierungsschichten aufweisen, d. h. dielektrische Schichten, in die metallgefüllte Leitungen und Kontaktdurchführungen eingebettet sind, um damit die erforderlichen elektrischen Verbindungen zwischen den einzelnen Schaltungselementen herzustellen. Der Einfachheit halber ist ein einzelnes kupferbasiertes Metallgebiet 103 dargestellt, um damit Metallleitungen auf Kupferbasis einer oder mehrerer Metallisierungsschichten zu repräsentieren. Das Metallgebiet 103 auf Kupferbasis kann in einem beliebigen geeigneten dielektrischen Material eingebettet sein, etwa Siliziumdioxid, fluordotiertes Siliziumdioxid, einem Material mit kleinem ε, etwa wasserstoffangereichtem Siliziumoxikarbid (SiCOH), oder einer Kombination davon. Wie zuvor erläutet ist, kann Kupfer leicht in einer Vielzahl dielektrischer Materialien diffundieren und daher wird typischerweise eine leitende Barrierenschicht 102 zwischen dem dielektrischen Material des Substrats 101 und dem kupferenthaltenden Material des Gebiets 103 vorgesehen. Die Barrierenschicht 102 kann aus zwei oder mehreren einzelnen Schichten aufgebaut sein, um damit die erforderlichen Eigenschaften nicht mehr im Hinblick auf die kupferdiffusionsblockie rende Wirkung, sondern auch in Bezug auf die Haftung an dem umgebenden Material, und dergleichen zu erreichen. Beispielsweise werden Tantal, Tantalnitrid, Titan, Titannitrid und Kombinationen daraus häufig als geeignete Materialien für die Barrierenschicht 102 eingesetzt.
  • Ein typischer Prozessablauf zur Herstellung des Halbleiterbauelements 100, wie es in 1a gezeigt ist, kann die folgende Prozesse umfassen. Nach der Herstellung von Schaltungselementen, die äußert größenreduzierte kritische Abmessungen in anspruchsvollen Anwendungen aufweisen können, etwa eine Gatelänge von Feldeffekttransistoren im Bereich von ungefähr 50 bis 100 nm oder sogar weniger, können eine oder mehrere Metallisierungsschichten gemäß gut etablierter Einzel-Damaszener- oder dualer Damaszener-Techniken hergestellt werden. D. h., ein geeigneter dielektrischer Schichtstapel mit einer Ätzstopp/Barrierenschicht ähnlich zu der Deckschicht, die auf der Oberseite des kupferbasierten Metallgebiet 103 herzustellen ist, kann abgeschieden werden, an die sich eine weitere dielektrische Schicht, etwa Siliziumidoxid oder ein dielektrisches Material mit kleinem ε anschließt, das durch gut etablierte Verfahren, etwa plasmaunterstütztes CVD (chemische Dampfabscheidung), Aufschleuderverfahren, und dergleichen hergestellt werden kann. Danach wird der dielektrische Schichtstapel durch Photolithographie und Ätzverfahren strukturiert, um Gräben und Kontaktlöcher in dem dielektrischen Schichtstapel zu bilden, wobei die untere Ätzstopp/Barrierenschicht oder Deckschicht (nicht gezeigt) als ein Ätzstopp verwendet werden kann. Der Einfachheit halber ist lediglich ein einzelner Graben für die weitere Beschreibung dargestellt, in der das kupferbasierte Metallgebiet 103 zu bilden ist. Somit kann nach dem Strukturieren des entsprechenden Grabens die Barrierrenschicht 102 auf der Grundlage gut etablierter Abscheideverfahren, etwa der Sputterabscheidung, CVD, ALD (Atomlagendeposition), und dergleichen gebildet werden. Beispielsweise sind gut etablierte Rezepte für die Sputterabscheidung von Tantal und Tantalnitrid sowie Titan und Titannitrid verwendbar, um die Barrierenschicht 102 mit den gewünschten Eigenschaften zu bilden. Danach wird eine Saatschicht (nicht gezeigt), die beispielsweise aus Kupfer aufgebaut ist, durch Sputterabscheidung oder eine andere geeignete Abscheidetechnik gebildet. Auf der Grundlage der Saatschicht wird ein kupferbasiertes Material, etwa reines Kupfer, eine Kupferlegierung, oder eine Kombination davon beispielsweise durch Elektroplattieren abgeschieden, wodurch die zuvor gebildeten Gräben und Kontaktlöcher zuverlässig aufgefüllt werden und wobei auch das kupferbasierte Metallgebiet 103 gebildet wird. Anschließend kann überschüssiges Material, das während des vorhergehenden elektrochemischen Abscheideprozesses abgeschieden wurde, sowie die Saatschicht und die leitende Barrierenschicht 102, die auf Bereichen außerhalb des kupferbasierten Metallgebiets 103 ausgebildet sind, entfernt werden, um das elektrisch isolierte kupferbasierte Metallgebiet 103 zu bilden. Zu diesem Zweck kann ein Abtragungsprozess, der typischerweise einen CMP-(chemisch-mechanischen Polier-) Prozess umfasst, ausgeführt werden, während welchem eine Oberfläche 103a des Gebiets 103 freigelegt wird, die dann einer chemischen Reaktion unterzogen wird, woraus sich verfärbte und korrodierte oder oxidierte Bereiche auf der Oberfläche 103a ausbilden, da Kupfer leicht mit Feuchtigkeit, Sauerstoff, Fluor oder anderen Spuren an Gasen reagiert, die typischerweise während des Abtragens des überschüssigen Materials und nachfolgender Substrathantierungsprozesse angetroffen werden. Folglich wird vor der Herstellung einer isolierenden Deckschicht, die auch als eine Ätzstoppschicht bei der Herstellung weiterer Metallisierungsschichten auf dem Substrat 101 dienen können, die Oberfläche 103a typischerweise gereinigt, um die Leitfähigkeit und die Haftungseigenschaften und damit das Elektromigrationsverhalten des Gebiets 103 zu verbessern. Dazu kann eine plasmagestützte Behandlung ausgeführt werden, um in effizienter Weise oxidierte, verfärbte und korrodierte Bereiche von der Oberfläche 103a zu entfernen, während gleichzeitig im Wesentlichen eine erneute Ausbildung dieser Bereiche unterdrückt wird. Beispielsweise kann eine Plasmaumgebung 104 auf der Grundlage von Ammoniak (NH3) und Stickstoff (N2) hergestellt werden, wobei die Plasmazündung typischerweise auf der Grundlage einer Radiofrequenz (RF) ausgeführt wird, deren Leistungsdichte deutlich in Verbindung mit den Gaszuflussraten von Ammoniak und Stickstoff die Wirksamkeit der Plasmabehandlung 104 bestimmen kann. Beispielsweise kann mit geeignet ausgewählten Gasdurchflussraten im Bereich von ungefähr 500 bis 600 sccm (Standardkubikzentimeter pro Minute) und 6000 sccm für Ammoniak bzw. Stickstoff und einer RF-Leistung von 200 Watt für eine Plasmaabscheidekammer, etwa das System „Producer", das von Applied Materials Corporation erhältlich ist, eine gute Haftung für eine stickstoffangereichte Siliziumkarbid-(SiCN) Schicht erreicht werden. Nach der Plasmabehandlung 104 kann die Umgebung geändert werden, indem geeignete Vorstufenmaterialien zugeführt werden, so dass eine geeignete Abscheideatmosphäre in-situ entsteht, wodurch eine unerwünschte Verfärbung und Oxidation der freiliegenden Oberfläche 103a verhindert wird. Nach einem entsprechenden Stabilisierungsschritt zum Einführen der Vorstufengase, etwa 3MS (Trimethylsilan) und Ammoniak zur Herstellung einer stickstoffangereichten Siliziumkarbidschicht wird eine geeignete RF-Leistung zugeführt, um damit ein entsprechendes Plasma herzustellen, wodurch der Abscheideprozess in Gang gesetzt wird.
  • 1b zeigt schematisch das Halbleiterbauelement 100 während dieses Abscheideprozesses, wobei auf der Grundlage des Plasmas 105 mit 3MS, NH3 und He eine Deckschicht 106 mit stickstoffangereichertem Siliziumkarbid (SiCN) über dem Substrat 101 und auf der freiliegenden Oberfläche 103a gebildet wird, wodurch eine entsprechende Grenzfläche geschalten wird, die der Einfachheit halber ebenso als 103a bezeichnet wird. Danach kann die Bearbeitung fortgesetzt werden, indem weitere Metallisierungsschichten gebildet werden, wobei die Deckschicht 106 als eine Ätzstoppschicht zur Strukturierung eines entsprechenden dielektrischen Schichtstapels für die Herstellung entsprechender Kontaktlöcher und Gräben dienen kann.
  • Während des Betriebs des Bauelements 100 kann der strominduzierte Materialtransport, der auch als Elektromigration bezeichnet wird, an der Grenzfläche 103a dennoch kritische Werte erreichen, insbesondere, wenn ein kupferbasiertes Metallgebiet 103 Bestandteil einer höheren Metallisierungsschicht ist. Somit ist für anspruchsvolle Anwendungen, in denen erhöhte Stromdichten erforderlich sind, die Widerstandsfähigkeit im Hinblick auf die Elektromigration, wie sie durch den konventionellen Prozessablauf gegeben ist, unter Umständen nicht mehr ausreichen.
  • Angesichts der zuvor beschriebenen Situation besteht ein Bedarf für eine effektivere Technik, die die Herstellung kupferbasierter Metallisierungsschichten mit einer effizienten Deckschicht mit erhöhter Widerstandsfähigkeit gegen Elektromigration ermöglicht.
  • ÜBERBLICK ÜBER DIE ERFINDUNG
  • Im Allgemeinen richtet sich die vorliegende Erfindung an eine Technik, die die Herstellung einer isolierenden Barrieren- oder Deckschicht für ein kupferbasiertes Metallgebiet ermöglicht, wobei eine freiliegende Oberfläche des Kupfergebiets auf der Grundlage einer thermisch chemischen Reaktion anstatt einer Plasmabehandlung vorbehandelt wird, um damit deutlich die Grenzfläche zwischen der freiliegenden Kupferoberfläche und einer nachfolgend in-situ abgeschiedenen Deckschicht zu verbessern. Ferner wird eine Oberflächenmodifizierung der chemisch gereinigten Kupferfläche auf der Grundlage eines siliziumenthaltenden Vorstufenmaterials erzeugt, das in einigen Ausführungsformen 4MS (Tetramethylsilan) und/oder 3MS (Trimethylsilan) und/oder Silan (SiH4) aufweist, um eine verstärkte Ober fläche und nach der Abscheidung des Barrierenmaterials eine verbesserte Grenzfläche zwischen dem Kupfer und dem dielektrischen Material zu erhalten. Folglich können verbesserte Eigenschaften im Hinblick auf beispielsweise die Elektromigration erreicht werden, während andererseits die Prozesszeit deutlich reduziert wird im Vergleich zu konventionell hergestellten Deckschichten mit einer plasmabehandelten Kupferfläche.
  • Gemäß einer anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren das Bilden eines kupferbasierten Metallgebiets in einer dielektrischen Schicht einer Metallisierungsschicht eines Halbleiterbauelements, wobei das kupferbasierte Metallgebiet eine freiliegende Oberfläche aufweist. Ferner wird die freiliegende Oberfläche mittels einer thermisch chemischen Behandlung in einer spezifizierten Umgebung gereinigt. Anschließend wird die gereinigte Oberfläche mittels einer thermischen Behandlung auf Grundlage eines siliziumenthaltenden Vorstufenmaterials modifiziert. Schließlich wird eine Deckschicht auf der freiliegenden Oberfläche in der spezifizierten Umgebung abgeschieden.
  • Gemäß einer noch weiteren anschaulichen Ausführungsform der vorliegenden Erfindung umfasst ein Verfahren das Bereitstellen eines Halbleiterbauelements mit einem Substrat, das darauf ausgebildet ein kupferbasiertes Metallgebiet mit einer freiliegenden Oberfläche aufweist. Des weiteren wird die freiliegende Oberfläche ohne eine Plasmaumgebung vorbehandelt, um die freiliegende Oberfläche für die Aufnahme einer Deckschicht vorzubereiten, wobei die Vorbehandlung einen Oberflächenmodifizierungsprozess auf der Grundlage eines siliziumenthaltenden Vorstufenmaterials umfasst. Schließlich wird die Deckschicht in-situ bei Vorhandensein einer Abscheideplasmaumgebung abgeschieden.
  • KURZE BESCHREIBUNG DER ZEICHNUNGEN
  • Weitere Vorteile, Aufgaben und Ausführungsformen der vorliegenden Erfindung sind in den angefügten Patentansprüchen definiert und gehen deutlicher aus der folgenden detaillierten Beschreibung hervor, wenn diese mit Bezug zu den begleitenden Zeichnungen studiert wird, in denen
  • 1a und 1b schematisch Querschnittsansichten eines konventionellen Halbleiterbauelements während der Herstellung einer stickstoffangereichterten Siliziumkarbid-(SiCN) Deck schicht zeigen, wobei eine freiliegende Kupferoberfläche mittels einer Plasmabehandlung vorgereinigt wird; und
  • 2a bis 2g schematisch Querschnittsansichten der Herstellung einer Deckschicht für ein kupferbasiertes Metallgebiet auf der Grundlage einer thermisch chemischen Vorbehandlung mit einer Oberflächenmodifizierung auf der Grundlage von Silan gemäß anschaulicher Ausführungsformen der vorliegenden Erfindung zeigen.
  • DETAILLIERTE BESCHREIBUNG
  • Obwohl die vorliegende Erfindung mit Bezug zu den Ausführungsformen beschrieben ist, wie sie in der folgenden detaillierten Beschreibung sowie in den Zeichnungen dargestellt sind, sollte es selbstverständlich sein, dass die folgende detaillierte Beschreibung sowie die Zeichnungen nicht beabsichtigen, die vorliegende Erfindung auf die speziellen anschaulich offenbarten Ausführungsformen einzuschränken, sondern die beschriebenen anschaulichen Ausführungsformen stellen lediglich beispielhaft die diversen Aspekte der vorliegenden Erfindung dar, deren Schutzbereich durch die angefügten Patentansprüche definiert ist.
  • Im Allgemeinen richtet sich die vorliegende Erfindung an eine Technik zur Herstellung einer dielektrischen Barrieren- oder Deckschicht für freiliegende kupferbasierte Metallgebiete, etwa Metallleitungen, wie sie typischerweise in modernen Halbleitern eingesetzt werden, die Metallisierungsschichten auf Kupferbasis enthalten, wobei dies möglicherweise in Kombination mit dielektrischen Materialien mit kleinem ε stattfinden kann, wobei moderat hohe Stromdichten und Betriebstemperaturen in den Metallleitungen während des Betriebs der Bauelemente angetroffen werden können. Wie zuvor erläutert ist, können belastungsinduzierte Materialtransportphänomene in Leitungen deutlich die Gesamtzuverlässigkeit und Lebensdauer von Halbleiterbauelementen beeinflussen, da der Ausfall selbst einer einzelnen Leitung in der Metallisierungsschicht einen Ausfall des Bauelements hervorrufen kann oder zumindest dessen Zuverlässigkeit reduzieren kann. Ein Materialtransport in Metallleitungen, etwa die Elektromigration, die das Phänomen der Kupferdiffusion beschreibt, die durch einen elektrischen Strom in einer Metallleitung hervorgerufen wird und damit Hohlräume und Materialanhäufungen in der Metallleitung schafft, kann deutlich von den Eigenschaften von Diffusionpfaden abhängen, die typischerweise an Grenzflächen zwischen zwei unterschiedlichen Materialschichten gebildet werden. Neuere Untersuchungen scheinen zu bestätigen, dass die Eigenschaften der Grenzfläche zwischen dem kupferbasierten Metall und der Deckschicht, die typischerweise auf Silizium und/oder Kohlenstoff und/oder Stickstoff basiert, einen starken Einfluss auf die Widerstandsfähigkeit der Metallleitung auf Kupferbasis gegenüber Elektromigration ausüben kann. Daher wird konventioneller Weise die freiliegende kupferbasierte Oberfläche mittels einer Plasmabehandlung vor dem eigentlichen Abscheideprozess gereinigt, um in effizienter Weise Kupferoxid zu entfernen, um damit eine gute Haftung an dem Kupfer zu erreichen. Beispielsweise ist der Koeffizient Gc, der die Haftungs-„Stärke" eines Materials auf einem Substrat kennzeichnet, größer als 20 J/m2 für eine typische konventionelle Siliziumkarbidnitrid-Deckschicht, die auf der Grundlage einer plasmagestützten Reinigungsbehandlung hergestellt ist. Wie jedoch zuvor angemerkt ist, kann die Elektromigration an einer Kupfer/SiCN-Grenzfläche dennoch kritische Werte erreichen, insbesondere in höheren Metallisierungsschichten, wodurch eine entsprechende Metallisierung für äußert anspruchsvolle Anwendungen als wenig zuverlässig erscheint. Ferner kann eine Siliziumnitridschicht unter Umständen keine wünschenswerte Alternative in konventionellen Verfahren sein, da eine konventionelle ammoniak/stickstoffbasierte Vorbehandlung kritisch ist, da Silan, d. h. das Vorstufenmaterial des Siliziumnitrid-Abscheideprozesses, leicht in die plasmagereinigte Kupferoberfläche diffundieren kann, die auch durch die Wechselwirkung mit dem Plasma erwärmt ist, was zu einer Kupfersilizidbildung führen kann, die nicht steuerbar ist, und damit die sich ergebenden Eigenschaften der Grenzfläche zwischen der Deckschicht und dem Kupfer in einer nicht vorhersagbaren Weise deutlich beeinflussen kann.
  • Gemäß den Prinzipien der vorliegenden Erfindung wird die Vorbehandlung der freiliegenden kupferbasierten Oberfläche in Abwesenheit einer Plasmaumgebung ausgeführt, woraus sich eine äußerst effizient gereinigte Kupferoberfläche mit einem deutlich reduzierten Anteil an Oberflächenunregelmäßigkeiten, etwa Materialanhäufungen und Hohlräumen, und dergleichen ergibt. Ferner wird eine gut steuerbare Oberflächenmodifizierung, die thermisch reduziert ist, auf der Grundlage eines siliziumenthaltenden Vorstufenmaterials, etwa Silan, 3MS, 4MS und dergleichen, in Gang gesetzt, wobei das Fehlen des Plasmas, d. h. die in das Oberflächengebiet in konventionellen Verfahren eingekoppelte RF-Leistung, eine Steuerung der Silandiffusion und damit der Erzeugung von Kupfersilizid und stickstoffenthaltenden Kupfersilizid in der Kupferoberfläche ermöglicht, was dann für verbesserte Grenzflächeneigenschaften mit der nachfolgend abgeschiedenen Deckschicht zur Folge haben kann. In dieser Hinsicht ist der Begriff „in Abwesenheit einer Plasmaumgebung" so zu ver stehen, dass die Behandlung im Wesentlichen auf der Grundlage einer chemischen Reaktion ausgeführt wird, die thermisch durch die erwärmte Oberfläche in einer gasförmigen Umgebung aktiviert wird, wobei die Gaskomponenten eine nicht richtungsgebundene thermische Bewegung aufweisen, wobei ein möglicher Anteil ionisierter Teilchen, der dem Anteil entspricht, der durch die gegenseitige Wechselwirkung der gasförmigen Komponenten erzeugt wird, vorhanden sein können, ohne dass ein externes elektromagnetisches Feld angelegt wird. Somit ist „in Abwesenheit einer Plasmaumgebung" so zu verstehen, dass plasmainduzierte Effekte, wenn externe elektromagnetische Felder in der Umgebung, die die freiliegende kupferbasierte Oberfläche umgeben, etwa ein plasmainduzierter Ionenbeschuss, eine Substrataufladung, und dergleichen, vernachlässigbar sind im Vergleich zu dem nur thermisch chemischen Effekt, der durch die Komponenten der gasförmigen Umgebung hervorgerufen wird.
  • Mit Bezug zu den 2a bis 2g werden nunmehr weitere anschauliche Ausführungsformen der vorliegenden Erfindung detaillierter beschrieben.
  • 2a zeigt schematisch ein Halbleiterbauelement 200 in Querschnittsansicht in einem fortgeschrittenen Herstellungsstadium. Das Halbleiterbauelement 200 kann ein Bauelement repräsentieren, das Schaltungselemente, etwa Transistoren, möglicherweise in Verbindung mit anderen Mikrostrukturelementen zur Herstellung mechanischer und/oder optischer Komponenten, aufweist. Das Bauelement 200 kann ein Substrat 201 aufweisen, das in Form eines Siliziumvollsubstrats, eines SOI-(Silizium-auf-Isolator-) Substrats oder in Form eines anderen geeigneten halbleitenden oder isolierenden Trägers mit einer darauf ausgebildeten geeigneten Halbleiterschicht für die Herstellung von Schaltungselementen vorgesehen werden kann. Der Einfachheit halber sind derartige Schaltungselemente nicht gezeigt. Des weiteren kann das Bauelement 200 eine oder mehrere Metallisierungsschichten mit kupferbasierten Metallleitungen und Kontaktdurchführungen umfassen, wobei der Einfachheit halber eine einzelne Metallisierungsschicht 207 in 2a gezeigt ist. Die Metallisierungsschicht 207 kann aus einem geeigneten dielektrischen Material mit einem oder mehreren kupferbasierten Metallgebieten 203 aufgebaut sein, wobei das kupferbasierte Metallgebiet 203 eine Metallleitung repräsentieren kann, die in das dielektrische Material der Schicht 207 eingebettet ist, das in Form von Siliziumdioxid, fluordotiertem Siliziumdioxid, einem dielektrischen Material mit kleinem ε, etwa SiCOH, Polymermaterialien oder beliebigen Kombinationen davon vorgesehen sein kann. Das kupferbasierte Metallgebiet 203 kann eine Barrierenschicht 202 aufweisen, die aus einem geeigneten Barrieren/Haftmaterial gebildet ist, etwa Tantal, Tantalnitrid, Titan, Titannitrid, Kombinationen davon oder andere geeignete Materialien aufweisen kann. Ferner kann die Barrierenschicht 202 aus zwei oder mehr einzelnen Schichten unterschiedlicher Materialzusammensetzung hergestellt sein. Das verbleibende leitende Material des Gebiets 203 kann aus Kupfer oder einer Kupferlegierung aufgebaut sein. Das Bauelement 200 ist in einer Herstellungssequenz gezeigt, wobei eine Oberfläche 203a freigelegt ist und eine dieelektrische Barrieren- oder Deckschicht erhalten soll. Auf Grund vorhergehender Prozesse kann die freiliegende Oberfläche 203a eine Vielzahl unerwünschter Oberflächenunregelmäßigkeiten, etwa oxidierte, verfärbte oder korrodierte Bereiche aufweisen, die der Einfachheit halber in 2a nicht gezeigt sind.
  • Ein typischer Prozessablauf zur Herstellung des Bauelements 200, wie es in 2a gezeigt ist, kann im Wesentlichen die gleichen Prozesse aufweisen, wie sie zuvor mit Bezug zu dem Bauelement 100 beschrieben sind. D. h., gut etablierte Prozessverfahren können eingesetzt werden, um Schaltungselemente oder andere Mikrostrukturelemente herzustellen, woran sich gut etablierte Einzel- oder Dual-Damaszener-Verfahren zur Herstellung der Metallisierungsschicht 207 anschließen. Während der Prozesssequenz der Metallisierungsschicht 207 kann die Oberfläche 203a des kupferbasierten Gebiets 203 beispielsweise durch CMP freigelegt werden, um damit das kupferbasierte Gebiet 203 als ein elektrisch isoliertes leitendes Gebiet bereitzustellen. Nachfolgend kann das Bauelement 200 in einer spezifizierten Umgebung 204 behandelt werden, um die freiliegende kupferenthaltende Oberfläche 203a für den Erhalt einer Deckschicht darauf mit einem hohen Widerstand gegen Elektromigration vorzubereiten. Die spezifizierte Umgebung 204 kann eingerichtet werden, indem eine gasförmige Atmosphäre, die die freiliegende Oberfläche 203a umgibt, geschaffen wird, wobei die spezifizierte Umgebung 204 in Abwesenheit einer Plasmaumgebung hergestellt wird, im Gegensatz zu konventionellen Strategien, in denen die Behandlung einer freiliegenden kupferbasierten Oberfläche eine Plasmabehandlung vor dem nachfolgenden in-situ-Deckschicht-Abscheidevorgang enthält.
  • Es sollte beachtet werden, dass die spezifizierte Umgebung 204 in einer beliebigen geeigneten Prozessanlage errichtet werden kann, die eine plasmagestützte Absheidung ermöglicht, etwa eine PECVD-Anlage, wie sie von Applied Materials unter dem Namen „Producer" erhältlich ist. Abhängig von der Scheibengröße und der Kammergeometrie können die vor gegebenen Prozessparameter gemäß der hierin bereitgestellten Lehre eingestellt werden. In einer anschaulichen Ausführungsform wird die spezifizierte Umgebung 204 auf der Grundlage einer Mischung aus Ammoniak (NH3) und Stickstoff (N2) eingerichtet, wobei ein Druck von ungefähr 1 bis 6 Ton, beispielsweise ungefähr 3,0 Ton, erzeugt wird. Ferner ist das Verhältnis von Ammoniak und Stickstoff im Bereich von ungefähr 1:400 bis 1:1 oder es kann im Wesentlichen sogar reines Ammoniakgas verwendet werden, was für die oben genannte PECVD-Prozessanlage mit Durchflussraten von ungefähr 50 bis 1000 sccm, beispielsweise ungefähr 170 sccm und ungefähr 1000 bis 20000 sccm, beispielsweise ungefähr 5000 sccm, für Ammoniak bzw. Stickstoff erreicht werden kann. Ferner kann das Substrat 201 auf ungefähr 250 bis 500 Grad C, beispielsweise auf ungefähr 350 Grad C aufgeheizt werden, um damit auch die freiliegende Oberfläche 203a aufzuwärmen. Auf Grund der Wechselwirkung der Gasmischung in der spezifizierten Umgebung 204 wird ein thermisch induzierter chemischer Reinigungsprozess der Oberfläche 203a erreicht. In anschaulichen Ausführungsformen wird der Schritt der Vorbehandlung für ungefähr 5 bis 60 Sekunden, beispielsweise für ungefähr 10 Sekunden, ausgeführt.
  • 2b zeigt schematisch das Halbleiterbauelement 200 in einem weiter fortgeschrittenen Stadium der thermisch chemischen Vorbehandlung der freiliegenden Oberfläche 203a in Abwesenheit einer Plasmaumgebung. In diesem Stadium werden Silan (SiH4) oder 3MS oder ein anderes geeignetes siliziumenthaltendes Vorstufenmaterial, etwa 4MS, zur Modifizierung der freiliegenden Oberfläche 203a in die Umgebung 204 eingeführt. Das siliziumenthaltende Vorstufenmaterial, etwa Silan, 3MS und dergleichen, können in dem Kupfer der Oberfläche 203a leicht diffundieren, wobei der Grad der Diffusion und damit der Grad der Erzeugung von silizium- und stickstoffenthaltenden Kupferverbindungen effizient durch Einstellen der Prozessparameter der Umgebung 204 gesteuert wird, wobei man annimmt, dass diese Tatsache in dem Fehlen des Plasmas oder der RF oder LF (Niederfrequenz) Leistung begründet liegt. Die Modifizierung der freiliegenden Oberfläche 203a kann daher zu einer modifizierten Schicht 203c führen, die Kupfersilizid und stickstoffenthaltendes Kupfersilizid enthält. Zur steuerbaren Modifizierung der Oberfläche 203a zum Erzeugen der Schicht 203b, können im Wesentlichen die gleichen Parameterwerte eingesetzt werden, wie sie zuvor für die chemische Behandlung beschrieben sind, während zusätzlich Silan, 3MS und dergleichen der Umgebung 204 zugeführt wird, wobei ein Verhältnis von 3MS, Silan und dergleichen und Stickstoff im Bereich von ungefähr 1:1000 bis 1:60 liegen kann. Ein entsprechendes Verhältnis kann mit der oben beschriebenen Abscheideanlage erreicht wer den, indem die Durchflussrate des siliziumenthaltenden Vorstufenmaterials, etwa Silan, 3MS und dergleichen auf ungefähr 20 sccm bis 330 sccm, beispielsweise auf ungefähr 200 sccm eingestellt wird. Auf der Grundlage der obigen Parameterwerte kann die Modifizierung für ungefähr 1 bis 60 Sekunden, beispielsweise für ungefähr 10 Sekunden lang ausgeführt werden.
  • 2c zeigt schematisch das Halbleiterbauelement 200 in einem weiter fortgeschrittenen Stadium nach der Modifizierung, wobei die Schicht 203b gebildet ist. In diesem Schritt wird das Bauteil einer Umgebung 214 ausgesetzt, die geeignet ist, Gasreste und gasförmige Nebenprodukte, die sich aus dem vorhergehenden Modifizierungsprozess ergeben, zu entfernen. Die Umgebung 214 kann in der gleichen Prozesskammer eingerichtet werden, wie sie zuvor für die Umgebung 204 verwendet wurde, indem die Zufuhr von Gasen unterbrochen wird und die Prozesskammer zum effizienten Entfernen unerwünschter Gaskomponenten abgepumpt wird. In einer anschaulichen Ausführungsform wird der Abpumpschritt für ungefähr 3 bis 15 Sekunden, beispielsweise für ungefähr 5 Sekunden, ausgeführt, wobei eine Temperatur des Substrats 201 innerhalb des oben spezifizierten Bereichs gehalten werden kann.
  • 2d zeigt schematisch das Halbleiterbauelement 200 in einem weiter fortgeschrittenen Stadium mit einer Abscheideumgebung 205 für die Herstellung einer Siliziumnitrid-Deckschicht 206, wobei in einer anschaulichen Ausführungsform die Abscheideumgebung 205 in einem ersten Schritt ohne Erzeugen eines Plasmas eingerichtet wird. Beispielsweise kann für die oben spezifizierte PECVD-Prozessanlage eine Durchflussrate von ungefähr 20 bis 400 sccm, beispielsweise 150 sccm, für das Vorstufengas verwendet werden. In dieser Phase kann das eingeführte Vorstufengas auch mit der vorbehandelten freiliegenden Oberfläche 203a, d. h. mit der Schicht 203b, reagieren. Die spezifizierte Umgebung 205, wie dies in 2d gezeigt ist, kann für ungefähr 5 bis 30 Sekunden, beispielsweise für ungefähr 20 Sekunden, beibehalten werden. Danach kann ein Abscheideplasma eingerichtet werden, um damit den eigentlichen Abscheideprozess zur Herstellung der Siliziumnitrid-Deckschicht 206 mit einer gewünschten Dicke 206 im Bereich von ungefähr 60 bis 2000 Angstrom in Gang zu setzen. Die Dicke 206a kann eingestellt werden, indem entsprechende Prozessparameter zum Einrichten der Abscheideplasmaumgebung 205 gesteuert werden. In einer anschaulichen Ausführungsform können, wenn die zuvor spezifizierte PECVD-Anlage verwendet wird, die Werte oder die Wertebereiche für die Durchflussarten der gas förmigen Komponenten der Umgebung 205 mit gezündetem Plasma die gleichen sein wie in der Umgebung 204 aus 2b. Auch die Werte oder Wertebereiche für den Druck und die Substrattemperatur können gleich sein wie für die Umgebung 204, wobei jedoch die tatsächlich ausgewählten Werte für die diversen Parameter in dem Abscheideschritt der Plasmaumgebung 205 sich von den entsprechenden Parameterwerten der Umgebung 204 aus 2b unterscheiden können. Die Radiofrequenzleistung zum Einrichten der Abscheidplasmaumgebung 205 kann in einen Bereich von ungefähr 300 bis 1000 Watt, beispielsweise ungefähr 500 Watt, liegen. Es sollte beachtet werden, dass eine geeignete Leistungsdichte zum Einrichten eines Plasmas für andere Anlagengeometrien und Kammerkonfigurationen einfach auf der Grundlage der zuvor spezifizierten RF-Leistung bestimmt werden können. Für Werte für die Temperatur, die Durchflussraten und den Druck, wie sie zuvor mit Bezug zu der 2b gezeigten Umgebung 204 spezifiziert sind, kann in anschaulichen Beispielen ein Wert von ungefähr 50 nm für die Dicke 206a bei einer Abscheidezeit von ungefähr 20 Sekunden erreicht werden.
  • Nachdem eine Solldicke für die Schicht 206 erreicht ist, kann die Zufuhr an RF-Leistung unterbrochen werden, und es kann ein Pumpschritt für ungefähr 15 Sekunden ausgeführt werden, wobei eine Zufuhr von Gaskomponenten unterbrochen ist.
  • Folglich kann die Siliziumnitrid-Deckschicht 206 mit einer Dicke von beispielsweise ungefähr 5 bis 200 nm gebildet werden, wobei eine Grenzfläche, die der Einfachheit halber auch als 203a bezeichnet ist, mit dem kupferbasierten Gebiet 203 ausgezeichnete Eigenschaften im Hinblick auf die Haftung und Elektromigration aufweist, wobei zusätzlich eine deutliche Verringerung der Prozesszeit im Vergleich zu konventionellen Fertigungssequenzen für eine Deckschicht mit einer plasmabasierten Vorbehandlung erreicht werden kann.
  • 2e zeigt schematisch das Bauelement 200 gemäß einer weiteren anschaulichen Ausführungsform, in der die Abscheideumgebung 205 ausgebildet ist, den in-situ-Abscheideprozess für die Deckschicht 206 in Gang zu setzen, die nunmehr aus stickstoffangereichertem Siliziumkarbid (SiCN) aufgebaut ist. Vor dem dargestellten Prozessschritt in 2e kann eine Prozesssequenz, wie sie zuvor in den 2a bis 2c beschrieben ist, durchgeführt werden, wobei eine thermisch chemische Vorbehandlung in der spezifizierten Umgebung 204 ohne Anwendung eines Plasmas ausgeführt wird. In einer anfänglichen Phase kann die Umgebung 205 ohne ein Plasma eingerichtet werden, wobei 3MS, Ammo niak und Helium zu der Umgebung 205 zugeführt werden. Dabei wird ein Druck in einem Bereich von ungefähr 2 bis 6 Torr, beispielsweise ungefähr 3 Torr, eingestellt, wobei die Temperatur des Substrats 201 innerhalb des gleichen Bereichs gehalten wird, wie er zuvor für die Vorbehandlung des Bauelements 200 und das Erzeugen der modifizierten Schicht 203b verwendet ist. In einigen anschaulichen Ausführungsformen kann das Verhältnis von 3MS und Helium auf ungefähr 1:6 bis 1:1 eingestellt werden, wobei das Verhältnis von Ammoniak und Helium im Bereich von ungefähr 1:3 bis 1:0.4 liegen kann. Für die zuvor genannte Abscheideanlage können diese Bereiche mit den folgenden Durchflussraten für 3MS und Ammoniak und Helium erreicht werden: ungefähr 100 bis 400 sccm, beispielsweise ungefähr 160 sccm; ungefähr 200 bis 600 sccm, beispielsweise ungefähr 325 sccm; ungefähr 200 bis 1000 sccm, beispielsweise ungefähr 400 sccm. Diese Einstellung kann ungefähr 15 bis 30 Sekunden, beispielsweise ungefähr 20 Sekunden lang beibehalten werden.
  • Danach kann in der Abscheideumgebung 205 ein Plasma eingerichtet werden, wobei in einigen anschaulichen Ausführungsformen die anderen Parameterwerte beibehalten werden. In einer Ausführungsform wird für die oben spezifizierte Abscheideanlage mit einer RF-Leistung zwischen ungefähr 200 Watt und ungefähr 700 Watt, beispielsweise ungefähr 300 Watt und einer Abcheidezeit von ungefähr 21,5 Sekunden unter Anwendung der beispielhaften Werte für die Temperatur, den Druck und die Durchflussraten, wie sie zuvor spezifiziert sind, eine Dicke von ungefähr 50 nm erreicht. Danach wird ein abschließender Pumpschritt, beispielsweise 15 Sekunden lang ausgeführt, wodurch nicht erwünschte Abscheidenebenprodukte entfernt werden. Wie in ähnlicher Weise mit Bezug zu der Siliziumnitrid-Deckschicht 206 aus 2c erläutert ist, besitzt auch die SiCN-Deckschicht 206 aus 2d die verbesserte modifizierte Schicht und damit die Grenzfläche 203b, die einen deutlich erhöhten Widerstand gegen Elektromigrationswirkungen im Vergleich zu konventionell gebildeten SiCN-Deckschichten aufweist.
  • 2f zeigt schematisch das Halbleiterbauelement 200 gemäß weiterer anschaulicher Ausführungsformen, wobei die Deckschicht 206 eine erste Teilschicht 206a und eine zweite Teilschicht 206b aufweist. Beispielsweise kann die Teilschicht 206a Siliziumnitrid aufweisen, während die Teilschicht 206b stickstoffangereichertes Siliziumkarbid aufweisen kann. In anderen Ausführungsformen kann die Teilschicht 206a SiCN aufweisen, während die Teilschicht 206b Siliziumnitrid aufweisen kann. Die Deckschicht 206, wie sie in 2f ge zeigt ist, kann auf der Grundlage der Prozesssequenzen hergestellt werden, wie sie zuvor mit Bezug zu den 2a bis 2e beschrieben sind, wobei eine thermisch chemische Vorbehandlung in der spezifizierten Umgebung 204 ausgeführt wird, ohne dass ein Plasma angewendet wird, während in einer anschaulichen Ausführungsform die Vorbehandlung ausgeführt wird, wie dies mit Bezug zu den 2a und 2c beschrieben ist, und das Abscheiden von Siliziumnitrid kann durch Einrichten in-situ der Abscheideplasmaumgebung 205, wie es in 2d gezeigt ist, in Gang gesetzt werden. Nachdem eine gewünschte Solldicke für die Teilschicht 206a, die in form einer Siliziumnitridschicht vorgesehen ist, erreicht ist, kann die Zufuhr von RF-leistung unterbrochen werden und die Plasmaumgebung 205, wie sie mit Bezug zu 2e beschrieben ist, kann eingerichtet werden, um das Abscheiden der Teilschicht 206b in Form einer SiCN-Schicht in Gang zu setzen.
  • In ähnlicher Weise kann die zuvor beschriebene Prozesssequenz in Bezug auf die Schritte umgekehrt werden, die nach dem Reinigungs- und Modifizierungsprozess ausgeführt werden, die mit Bezug zu den 2a bis 2c beschrieben sind, um somit einen SiCN/SiN-Schichtstapel zu bilden.
  • 2g zeigt schematisch das Halbleiterbauelement 200 gemäß einer weiteren anschaulichen Ausführungsform, wobei die Deckschicht 206 drei Teilschichten 206a, 206b und 206c enthalten kann. Beispielsweise können die Teilschichten 206a und 206c SiCN-Schichten repräsentieren, während die Teilschicht 206b eine SiN-Schicht repräsentieren kann. In anderen Ausführungsformen können die Teilschichten 206a und 206c SiN-Schichten repräsentieren, während die Teilschicht 206b eine SiCN-Schicht repräsentieren kann. Hinsichtlich der Herstellung der Deckschicht 206, wie sie in 2g gezeigt ist, können die gleichen Prozesse eingesetzt werden, wie sie zuvor mit Bezug zu 2f beschrieben sind, wobei zusätzlich eine weitere plasmaunterstützte Abscheideumgebung eingerichtet wird, um die zusätzliche Teilschicht 206c zu bilden. Jedoch wird im Gegensatz zu den Ausführungsformen aus der 2f in 2g die Dicke der einzelnen Teilschichten 206a, 206b und 206c in geeigneter Weise so eingestellt, dass eine gewünschte Gesamtdicke der Deckschicht 206 gemäß den Bauteilerfordernissen erreicht wird. Somit besitzen die Teilschichten 206a, 206b und 206c eine geringere Dicke im Vergleich zu den Teilschichten 206a und 206b aus 2f, wenn das Halbleiterbauelement 200 ansonsten im Wesentlichen die gleiche Konfiguration aufweist. Die beschriebene Prozesssequenz kann erweitert werden, um mehr als drei Teilschichten in der Deckschicht 206 zu bilden.
  • Es gilt also: die vorliegende Erfindung stellt eine verbesserte Technik zur Herstellung einer dielektrischen Barrieren- oder Deckschicht für kupferbasierte Metallgebiete bereit, wie sie typischerweise in modernsten Halbleiterbauelementen mit einer äußerst leitenden kupferbasierten Metallisierungsschicht anzutreffen sind. Im Gegensatz zu konventionellen Vorgehensweisen wird eine hochqualitative Grenzfläche zwischen dem kupferbasierten Metall und einer Deckschicht durch eine thermisch chemische Vorbehandlung vor der nachfolgenden in-situ-Abscheidung der Deckschicht erreicht. Dieser Effekt wird vermutlich durch eine geringe steuerbare Silandiffusion in ein kupferbasiertes Metallgebiet vor dem eigentlichen Abscheiden hervorgerufen, wodurch eine modifizierte Oberflächenschicht mit Silizid und Stickstoff gebildet wird. Nachfolgend wird eine Grenzfläche mit äußerst hoher mechanischer Stabilität nach dem Abscheiden der Deckschicht gebildet. Andererseits wird eine Diffusion in das Volumen des Kupfers und anschließend eine nicht gewünschte Modifizierung der Kupferleitung, etwa eine Silizidbildung in dem tieferliegenden Kupfervolumen durch die neue Art der Vorbehandlung vermieden. Untersuchungen der Erfinder scheinen anzudeuten, dass ein deutlich erhöhter Widerstand gegen Elektromigration erreicht werden kann, der zu einem ungefähr 3 bis 5 mal besseren Elektromigrationsverhalten im Hinblick auf die Lebensdauer im Vergleich zu einer konventionellen SiCN-Deckschicht führen kann, die auf der Grundlage einer RF-Plasmaumgebung gebildet wird. Des weiteren wird eine gute Haftung der Deckschicht gemäß der vorliegenden Erfindung auf dem kupferbasierten Metall erreicht. Beispielsweise liegt der Koeffizient Gc im Bereich von 10 bis 40 J/m2. Zusätzlich zu dem Elektromigrationsverhalten ist die Erzeugungsrate für Kupferanhäufungen deutlich reduziert, da keine RF-Leistung die nicht abgedeckte Kupferoberfläche während der Vorbehandlung aufheizt, was in der konventionellen Vorbehandlung ein wesentliches Charakteristikum ist. Als weiterer Vorteil wird eine deutliche Reduzierung der Prozesszeit erreicht, wodurch auch der Durchsatz erhöht und die Produktionskosten gesenkt werden.
  • Weitere Modifizierungen und Variationen der vorliegenden Erfindung werden für den Fachmann angesichts dieser Beschreibung offenkundig. Daher ist diese Beschreibung als lediglich anschaulich und für die Zwecke gedacht, dem Fachmann die allgemeine Art und Weise des Ausführens der vorliegenden Erfindung zu vermitteln. Selbstverständlich sind die hierin gezeigten und beschriebenen Formen der Erfindung als die gegenwärtig bevorzugten Ausführungsformen zu betrachten.

Claims (20)

  1. Verfahren mit: Bilden eines Metallgebiets auf Kupferbasis in einer dielektrischen Schicht einer Metallisierungsschicht eines Halbleiterbauelements, wobei das Metallgebiet auf Kupferbasis eine freiliegende Oberfläche aufweist; Reinigen der freiliegenden Oberfläche mittels einer thermisch chemischen Behandlung; Modifizieren der gereinigten Oberfläche in einer thermischen Behandlung auf der Grundlage eines siliziumenthaltenden Vorstufenmaterials; und Abscheiden einer Deckschicht auf der modifizierten Oberfläche.
  2. Verfahren nach Anspruch 1, wobei das Abscheiden der Deckschicht in-situ mit dem Modifizieren der gereinigten Oberfläche ausgeführt wird.
  3. Verfahren nach Anspruch 2, das ferner umfasst: Entfernen von Gaskomponenten einer Umgebung, die während des Modifizierens der Oberfläche vor dem Abscheiden der Deckschicht eingerichtet wird.
  4. Verfahren nach Anspruch 3, das ferner umfasst: Einrichten einer Abscheideumgebung zum Abscheiden der Deckschicht ohne ein Plasma und Erzeugen eines Plasmas zum Abscheiden der Deckschicht auf der Grundlage der eingerichteten Abscheideumgebung.
  5. Verfahren nach Anspruch 1, wobei Reinigen und Modifizieren der Oberfläche in-situ ausgeführt werden.
  6. Verfahren nach Anspruch 1, wobei Ausführen der thermisch chemischen Behandlung umfasst: Zuführen von Ammoniak und Stickstoff und Erwärmen der freiliegenden Oberfläche.
  7. Verfahren nach Anspruch 6, wobei Abscheiden der Deckschicht das Zuführen von 3MS (Trimethylsilan) umfasst.
  8. Verfahren nach Anspruch 6, wobei Abscheiden der Deckschicht das Zuführen von 4MS (Tetramethylsilan) umfasst.
  9. Verfahren nach Anspruch 6, wobei Abscheiden der Deckschicht das Zuführen von Silan umfasst.
  10. Verfahren nach Anspruch 1, wobei das siliziumenthaltende Vorstufenmaterial Silan (SiH4) und/oder Trimethylsilan (3MS) und/oder Tetramethylsilan (4MS) umfasst.
  11. Verfahren nach Anspruch 1, wobei eine Prozesszeit der thermischen Behandlung zum Modifizieren der gereinigten Oberfäche ungefähr 1 bis 60 Sekunden beträgt.
  12. Verfahren nach Anspruch 10, wobei eine Prozesstemperatur während des Reinigens und Modifizierens der Oberfläche ungefähr 250 Grad C bis 500 Grad C beträgt.
  13. Verfahren mit: Bereitstellen eines Halbleiterbauelements mit einem Substrat, das darauf ausgebildet ein Metallgebiet auf Kupferbasis mit einer freiliegenden Oberfläche aufweist; Vorbehandeln der freiliegenden Oberfläche in Abwesenheit einer Plasmaumgebung, um die freiliegende Oberfläche zur Aufnahme einer Deckschicht vorzubereiten, wobei das Vorbehandeln einen Oberflächenmodifizierungsprozess auf der Grundlage eines siliziumenthaltenden Vorstufenmaterials umfasst; und Abscheiden in-situ der Deckschicht in Gegenwart einer Abscheideumgebung und eines Plasmas.
  14. Verfahren nach Anspruch 13, wobei das Vorbehandeln der freiliegenden Oberfläche umfasst: Zuführen eines Ammoniak-(NH3) und eines Stickstoffgases zu der freiliegenden Oberfläche vor dem Oberflächemodifizierungsprozess.
  15. Verfahren nach Anspruch 14, wobei die Abscheideplasmaumgebung nach dem Entfernen von Nebenproduktes des Modifizierungsprozesses geschaffen wird.
  16. Verfahren nach Anspruch 15, das ferner Einrichten der Abscheideumgebung vor dem Erzeugen des Plasmas umfasst.
  17. Verfahren nach Anspruch 13, wobei Vorbehandeln der freiliegenden Oberfläche Erwärmen des Substrats auf ungefähr 250 Grad C bis 500 Grad C umfasst.
  18. Verfahren nach Anspruch 17, wobei ein Druck während des Vorbehandelns der freiliegenden Oberfläche auf ungefähr 1 bis 10 Torr eingestellt wird.
  19. Verfahren nach Anspruch 14, wobei Vorbehandeln der freiliegenden Oberfläche Zuführen von Ammoniak (NH3) und Stickstoff vor und während des Modifizierungsprozesses umfasst.
  20. Verfahren nach Anspruch 13, wobei das siliziumenthaltende Vorstufenmaterial Silan (SiH4) und/oder Trimethylsilan (3MS) und/oder Tetramethylsilan (4MS) umfasst.
DE102005057057.7A 2005-11-30 2005-11-30 Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion Active DE102005057057B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
DE102005057057.7A DE102005057057B4 (de) 2005-11-30 2005-11-30 Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion
US11/531,084 US7678699B2 (en) 2005-11-30 2006-09-12 Method of forming an insulating capping layer for a copper metallization layer by using a silane reaction

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102005057057.7A DE102005057057B4 (de) 2005-11-30 2005-11-30 Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion

Publications (2)

Publication Number Publication Date
DE102005057057A1 true DE102005057057A1 (de) 2007-05-31
DE102005057057B4 DE102005057057B4 (de) 2017-01-05

Family

ID=38037797

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102005057057.7A Active DE102005057057B4 (de) 2005-11-30 2005-11-30 Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion

Country Status (2)

Country Link
US (1) US7678699B2 (de)
DE (1) DE102005057057B4 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009010844A1 (de) * 2009-02-27 2010-09-02 Advanced Micro Devices, Inc., Sunnyvale Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7638443B2 (en) * 2006-11-14 2009-12-29 Asm Japan K.K. Method of forming ultra-thin SiN film by plasma CVD
US7964496B2 (en) * 2006-11-21 2011-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Schemes for forming barrier layers for copper in interconnect structures
US7576003B2 (en) * 2006-11-29 2009-08-18 International Business Machines Corporation Dual liner capping layer interconnect structure and method
US7855143B2 (en) * 2006-12-22 2010-12-21 Chartered Semiconductor Manufacturing, Ltd. Interconnect capping layer and method of fabrication
US8362596B2 (en) * 2009-07-14 2013-01-29 International Business Machines Corporation Engineered interconnect dielectric caps having compressive stress and interconnect structures containing same
TWI541938B (zh) * 2011-06-03 2016-07-11 諾菲勒斯系統公司 用於互連的含金屬及矽覆蓋層
US9761439B2 (en) * 2014-12-12 2017-09-12 Cree, Inc. PECVD protective layers for semiconductor devices
KR102455149B1 (ko) 2015-05-06 2022-10-18 삼성전자주식회사 반도체 소자의 제조 방법
US9673091B2 (en) 2015-06-25 2017-06-06 Globalfoundries Inc. Structure for BEOL metal levels with multiple dielectric layers for improved dielectric to metal adhesion
US9633896B1 (en) 2015-10-09 2017-04-25 Lam Research Corporation Methods for formation of low-k aluminum-containing etch stop films
US9859218B1 (en) 2016-09-19 2018-01-02 International Business Machines Corporation Selective surface modification of interconnect structures

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5447887A (en) * 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6368967B1 (en) * 2000-05-04 2002-04-09 Advanced Micro Devices, Inc. Method to control mechanical stress of copper interconnect line using post-plating copper anneal
DE10150822A1 (de) * 2001-10-15 2003-04-30 Advanced Micro Devices Inc Verfahren zum Entfernen oxidierter Bereiche auf einer Grenzfläche einer Metalloberfläche und einer Deckschicht in einer Halbleitermetallisierungsschicht
US6852373B1 (en) * 1999-07-01 2005-02-08 Commissariat A L'energie Atomique Method for depositing a silicon-containing dielectric material on copper

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4554011B2 (ja) * 1999-08-10 2010-09-29 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
JP4535629B2 (ja) * 2001-02-21 2010-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6599827B1 (en) * 2001-05-02 2003-07-29 Advanced Micro Devices, Inc. Methods of forming capped copper interconnects with improved electromigration resistance
US6429128B1 (en) * 2001-07-12 2002-08-06 Advanced Micro Devices, Inc. Method of forming nitride capped Cu lines with reduced electromigration along the Cu/nitride interface
US6623654B2 (en) * 2001-11-09 2003-09-23 Taiwan Semiconductor Manufacturing Company Thin interface layer to improve copper etch stop
US20030134495A1 (en) * 2002-01-15 2003-07-17 International Business Machines Corporation Integration scheme for advanced BEOL metallization including low-k cap layer and method thereof
US6737747B2 (en) * 2002-01-15 2004-05-18 International Business Machines Corporation Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US6797652B1 (en) * 2002-03-15 2004-09-28 Advanced Micro Devices, Inc. Copper damascene with low-k capping layer and improved electromigration reliability
DE10224167B4 (de) * 2002-05-31 2007-01-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupferleitung mit erhöhter Widerstandsfähigkeit gegen Elektromigration in einem Halbleiterelement
US6649512B1 (en) * 2002-06-07 2003-11-18 Silicon Integrated Systems Corp. Method for improving adhesion of a low k dielectric to a barrier layer
JP4647184B2 (ja) * 2002-12-27 2011-03-09 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
JP4152202B2 (ja) * 2003-01-24 2008-09-17 Necエレクトロニクス株式会社 半導体装置の製造方法
DE102005035740A1 (de) * 2005-07-29 2007-02-08 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer isolierenden Barrierenschicht für eine Kupfermetallisierungsschicht

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5447887A (en) * 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6852373B1 (en) * 1999-07-01 2005-02-08 Commissariat A L'energie Atomique Method for depositing a silicon-containing dielectric material on copper
US6368967B1 (en) * 2000-05-04 2002-04-09 Advanced Micro Devices, Inc. Method to control mechanical stress of copper interconnect line using post-plating copper anneal
DE10150822A1 (de) * 2001-10-15 2003-04-30 Advanced Micro Devices Inc Verfahren zum Entfernen oxidierter Bereiche auf einer Grenzfläche einer Metalloberfläche und einer Deckschicht in einer Halbleitermetallisierungsschicht

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102009010844A1 (de) * 2009-02-27 2010-09-02 Advanced Micro Devices, Inc., Sunnyvale Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
US8153524B2 (en) 2009-02-27 2012-04-10 Advanced Micro Devices, Inc. Providing superior electromigration performance and reducing deterioration of sensitive low-k dielectrics in metallization systems of semiconductor devices
DE102009010844B4 (de) * 2009-02-27 2018-10-11 Advanced Micro Devices, Inc. Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen

Also Published As

Publication number Publication date
DE102005057057B4 (de) 2017-01-05
US20070123044A1 (en) 2007-05-31
US7678699B2 (en) 2010-03-16

Similar Documents

Publication Publication Date Title
DE102005057057B4 (de) Verfahren zur Herstellung einer isolierenden Deckschicht für eine Kupfermetallisierungsschicht unter Anwendung einer Silanreaktion
DE102005035740A1 (de) Verfahren zur Herstellung einer isolierenden Barrierenschicht für eine Kupfermetallisierungsschicht
DE102007004867B4 (de) Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
DE102005057075B4 (de) Halbleiterbauelement mit einer Kupferlegierung als Barrierenschicht in einer Kupfermetallisierungsschicht und Verfahren zu dessen Herstellung
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE102006056624B4 (de) Verfahren zur Herstellung einer selbstjustierten CuSiN-Deckschicht in einem Mikrostrukturbauelement
EP1678746A2 (de) Verfahren zum ausbilden eines dielektrikums auf einer kupferhaltigen metallisierung und kondensatoranordnung
DE102007022621B4 (de) Verfahren zur Herstellung einer dielektrischen Deckschicht für eine Kupfermetallisierung unter Anwendung einer thermisch-chemischen Behandlung auf Wasserstoffbasis
DE102009023379B4 (de) Erzeugen einer hydrophoben Oberfläche empfindlicher Dielektrika mit kleinem ε von Mikrostrukturbauelementen durch eine in-situ-Plasmabehandlung
DE10224167B4 (de) Verfahren zur Herstellung einer Kupferleitung mit erhöhter Widerstandsfähigkeit gegen Elektromigration in einem Halbleiterelement
DE102009010844B4 (de) Bereitstellen eines verbesserten Elektromigrationsverhaltens und Verringern der Beeinträchtigung empfindlicher dielektrischer Materialien mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen
DE102010040071B4 (de) Verfahren zur Wiederherstellung von Oberflächeneigenschaften empfindlicher Dielektrika mit kleinem ε in Mikrostrukturbauelementen unter Anwendung einer in-situ-Oberflächenmodifizierung
DE102005052001A1 (de) Halbleiterbauelement mit einem Kontaktpfropfen auf Kupferbasis und ein Verfahren zur Herstellung desselben
DE10240176A1 (de) Ein dielektrischer Schichtstapel mit kleiner Dielektrizitätskonstante einschliesslich einer Ätzindikatorschicht zur Anwendung in der dualen Damaszenertechnik
DE10260619B4 (de) Verfahren zur Herstellung einer Deckschicht mit antireflektierenden Eigenschaften auf einem Dielektrikum mit kleinem ε
DE102004052577B4 (de) Verfahren zur Herstellung einer dielektrischen Ätzstoppschicht über einer Struktur, die Leitungen mit kleinem Abstand enthält
DE102010063294A1 (de) Metallisierungssysteme von Halbleiterbauelementen, die eine Kupfer/Silizium-Verbindung als ein Barrierenmaterial aufweisen
DE102008044987B4 (de) Verfahren zur Verringerung von Partikeln in PECVD-Prozessen zum Abscheiden eines Materials mit kleiner Dielektrizitätskonstante unter Anwendung eines plasmaunterstützten Schritts nach der Abscheidung
DE102005004409B4 (de) Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε
DE102008049720B4 (de) Verfahren zum Passivieren freigelegter Kupferoberflächen in einer Metallisierungsschicht eines Halbleiterbauelements
DE10303925B4 (de) Dielektrische Barrierenschicht für eine Kupfermetallisierungsschicht mit einer über die Dicke hinweg variierenden Siliziumkonzentration und Verfahren zu deren Herstellung
DE10150822B4 (de) Verfahren zum Entfernen oxidierter Bereiche auf einer Grenzfläche einer Metalloberfläche
DE102007063271B4 (de) Verfahren zur Herstellung eines dielektrischen Zwischenschichtmaterials mit unterschiedlichen Abtragsraten während eines CMP-Prozesses
DE102005052053B4 (de) Verfahren zur Herstellung einer Ätzstoppschicht für eine Metallisierungsschicht mit verbesserter Ätzselektivität und besserem Einschlussverhalten
DE10339990A1 (de) Metalleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R018 Grant decision by examination section/examining division
R020 Patent grant now final