DE102004021239A1 - Lange getemperte integrierte Schaltungsanordnungen und deren Herstellungsverfahren - Google Patents

Lange getemperte integrierte Schaltungsanordnungen und deren Herstellungsverfahren Download PDF

Info

Publication number
DE102004021239A1
DE102004021239A1 DE102004021239A DE102004021239A DE102004021239A1 DE 102004021239 A1 DE102004021239 A1 DE 102004021239A1 DE 102004021239 A DE102004021239 A DE 102004021239A DE 102004021239 A DE102004021239 A DE 102004021239A DE 102004021239 A1 DE102004021239 A1 DE 102004021239A1
Authority
DE
Germany
Prior art keywords
barrier material
layer
barrier
conductive
interconnect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
DE102004021239A
Other languages
English (en)
Other versions
DE102004021239B4 (de
Inventor
Heinrich Dr. Körner
Martina Dr. Hommel
Oliver Aubel
Wolfgang Dr. Hasse
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Infineon Technologies AG
Original Assignee
Infineon Technologies AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to DE102004021239.2A priority Critical patent/DE102004021239B4/de
Application filed by Infineon Technologies AG filed Critical Infineon Technologies AG
Priority to CN201210069965.7A priority patent/CN102623394B/zh
Priority to CN2009100078857A priority patent/CN101626012B/zh
Priority to PCT/EP2005/051808 priority patent/WO2005106946A1/de
Priority to CN2005800136335A priority patent/CN101040375B/zh
Publication of DE102004021239A1 publication Critical patent/DE102004021239A1/de
Priority to US11/589,349 priority patent/US8643183B2/en
Priority to US14/138,241 priority patent/US9543199B2/en
Application granted granted Critical
Publication of DE102004021239B4 publication Critical patent/DE102004021239B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

Erläutert werden unter anderem Verfahren, bei denen durch langes Tempern Barrierematerial an einem Viaboden oder an einer Viadeckfläche entfernt werden. Gleichzeitig oder alternativ werden durch das lange Tempern Leitbahnen (106) mit Barrierematerial (110) auf einfache und unkomplizierte Weise beschichtet.

Description

  • Die Erfindung betrifft unter anderem eine integrierte Schaltungsanordnung, die eine Vielzahl von elektrisch leitfähigen Leitstrukturen enthält, die gemäß einer Kornstruktur strukturiert sind. Insbesondere sind Leitstrukturen aus Kupfer oder aus einer Kupferlegierung betroffen.
  • Mit abnehmenden minimalen Strukturbreiten ist ein Trend in der Halbleitertechnik hin zu immer kürzeren Temperzeiten zu beobachten. Sowohl die Summe der Zeit für Tempervorgänge als auch die Dauer einzelner Temperschritte verkürzt sich, weil auch mit kurzen Tempervorgängen bei kleinen Abmessungen bereits eine ausreichende Ausheilung von Materialfehlern oder eine ausreichende Kornbildung auftritt. So werden RTP-Verfahren mit wenigen Sekunden Temperzeit angewendet.
  • Leitbahnen aus Kupfer werden üblicherweise mit einem Barrierematerial umkleidet, das vorzugsweise keine Legierung mit Kupfer bildet und das eine Diffusionsbarriere für Kupferatome gegen Ausdiffusion in das Intermetalldielektrikum bzw in das Substrat darstellt. Die elektrische Leitfähigkeit des Barrierematerials ist kleiner als die des Kupfers. Jedoch wird durch die Auskleidung die Beständigkeit der Leitstruktur gegen Elektromigration größer.
  • Die Leitstrukturen lassen sich in Vias und Leitbahnen einteilen. Die Vias sind in Isolierlagen zwischen zwei Leitbahnlagen angeordnet und dienen dem vertikalen Stromtransport zwischen den Leitbahnen verschiedener Ebenen. Die Isolierlage mit den Vias dient außerdem der kapazitiven Entkopplung von Leitbahnen verschiedener Ebenen.
  • Es ist Aufgabe der Erfindung einfach aufgebaute und einfach herzustellende integrierte Schaltungsanordnungen anzugeben, deren Leitstrukturen insbesondere eine hohe Stromtragfähigkeit aufgrund einer verminderten Neigung zur Elektromigration haben. Außerdem sollen Herstellungsverfahren für solche Schaltungsanordnungen angegeben werden.
  • Die Erfindung geht von der Erkenntnis aus, dass im Vergleich zu bisherigen Temperzeiten mindestens um den Faktor 2 oder 3 längere Temperzeiten die Stromtragfähigkeit der Leitstrukturen beträchtlich erhöhen können. Dies gilt auch für Schaltungsanordnungen mit minimalen Strukturbreiten durch Fotolithografie kleiner als 100 Nanometer oder sogar kleiner als 50 Nanometer unter Beachtung des Trends zu kürzeren Temperzeiten. Die erfindungsgemäße Temperzeit liegt also bei künftigen Generationen integrierter Schaltkreise unter den heute üblichen Temperzeiten, jedoch mindestens um den Faktor 2 oder 3 über den ohne Nutzung der Erfindung erforderlichen Temperzeiten.
  • Aufgrund der vergleichsweise langen Temperzeiten wird ohne zusätzliche Maßnahmen zwar die thermische Belastung der Schaltungsanordnung bei der Herstellung größer. Jedoch erhöht sich die Stromtragfähigkeit beträchtlich, was insbesondere bei kleinen Strukturbreiten mit zunächst geringer Stromtragfähigkeit für die Funktion der Schaltungsanordnung von großer Bedeutung ist.
  • Durch das lange Tempern kommt es gemäß einem ersten Aspekt der Erfindung zu einem Eindringen des Barrierematerials in die Leitstruktur entlang von Korngrenzen. Dies kann zunächst zu einem Anstieg des elektrischen Widerstandes der Leitstruktur im Bereich zwischen 3 Prozent und 6 Prozent führen. Überraschender Weise lässt sich die Stromtragfähigkeit aber trotzdem erhöhen, wenn so lange getempert wird, dass eine Barriereschicht an Viaböden oder an Viadeckflächen aufgelöst bzw. stark gedünnt wird. Bei einem derartig langen Tempern wird das elektrisch leitfähige Barrierematerial teilweise in einem Korngrenzenbereich der Leitstruktur angeordnet, der mindestens 5 Nanometer oder der mindestens 10 Nanometer im Innern der Leitstruktur liegt.
  • Bei einer Weiterbildung grenzt die Vialeitstruktur, an deren Seitenwänden eine Barriereschicht mit einer Dicke größer als 1 Nanometer angeordnet ist und an derem Boden eine Barrierematerialschicht dünner als 1 Nanometer bzw. kein Barrierematerial angeordnet ist an eine substratferne Leitbahn, deren Bodenfläche an eine Barrierematerialschicht grenzt, die dicker als 1 Nanometer ist. Insbesondere bei Verwendung einer Dualen Damascene-Technik zur Herstellung der Leitbahn und der Vialeitstruktur kann durch das Tempern Barrierematerial am Viaboden selektiv zu Barrierematerial am Leitbahnboden entfernt werden. Die Selektivität hat ihre Ursache bspw. darin, dass am Viaboden Barrierematerial nach oben und unten beim Tempern entlang von Korngrenzen diffundiert und ggf. zusätzlich lateral längs einer Cu/SiN-Grenzfläche, während am Leitbahnboden nur eine Diffusion nach oben entlang von Korngrenzen möglich ist. Bei diesem Verfahren enthält auch die Vialeitstruktur Barrierematerial insbesondere mindestens 5 Nanometer oder mindestens 10 Nanometer im Innern der Vialeitstruktur. Das Barrierematerial befindet sich in der Vialeitstruktur insbesondere in der Nachbarschaft zur Leitbahn.
  • Jedoch lässt sich die Selektivität auch dadurch erreichen, dass getempert wird, wenn nach der Abscheidung von Barrierematerial am Viaboden, an den Viaseitenwänden, am Leitbahnboden der substratfernen Leitbahn und an den Seitenflächen der substratfernen Leitbahn noch kein Leitmaterial bzw. Kupfer in das Via und in die obere Leitbahnaussparung eingebracht worden ist. In diesem Fall wird beim Tempern die Barriereschicht am Viaboden durch Materialdiffusion in die untere Leitbahn gedünnt, während das übrige Barrierematerial nicht an Leitstrukturen angrenzt und demzufolge nicht durch Korngrenzen- oder ggf. Grenzflächendiffusion abtransportiert werden kann.
  • Bei dieser Ausgestaltung ist die Vialeitstruktur barrierematerialfrei oder die Vialeitstruktur ist abgesehen von einem Randbereich oder mehreren Randbereichen, die sich weniger als 5 Nanometer ins Innere der Vialeitstruktur (550) erstrecken, barrierematerialfrei ist. Die geringe Ausdiffusion von Barrierematerial ist auf kurze Tempervorgänge zurückzuführen, die nach dem Tempern zum Entfernen des Barrierematerials am Viaboden durchgeführt werden.
  • Bei einer anderen Weiterbildung wird durch das lange Tempern Barrierematerial an einer Deckfläche einer Vialeitstruktur entfernt bzw. gedünnt. Die beiden oben erwähnten selektiven Verfahren zur Entfernung des Barrierematerials lassen sich auch hier anwenden. Bei der Verwendung des ersten Verfahrens enthält die Leitbahn Barrierematerial, insbesondere mindestens 5 Nanometer oder mindestens 10 Nanometer im Innern der Leitbahn. Bei dem zweiten Verfahren ist die Leitbahn dagegen barrierematerialfrei oder die Leitbahn ist abgesehen von einem Randbereich oder mehreren Randbereichen, die sich weniger als 5 Nanometer ins Innere der Vialeitstruktur erstrecken barrierematerialfrei.
  • Die Weiterbildung wird sowohl bei Leitstrukturen eingesetzt, die nach dem Einfach-Damascene-Verfahren oder nach einem sogenannten subtraktiven Verfahren hergestellt worden sind. Die subtraktiven Verfahren werden verwendet, wenn Damascene-Verfahren nicht mehr anwendbar sind, insbesondere bei einer Breite der Leitbahn größer als 30 Mikrometer und/oder einer Dicke der Leitbahn größer als 5 Mikrometer. Insbesondere Hochstromanwendungen benötigen breite Leitbahnen. Die Stromtragfähigkeit ist aufgrund der hohen Stromdichte dann auch für breite Leitbahnen wichtig.
  • Bei einer Weiterbildung erstreckt sich Barrierematerial kontinuierlich vom Rand der Leitstruktur entlang einer Korngrenze bis in das Innere der Leitbahn. Jedoch kann bei sehr langen Tempern auch ein "Abreißen" des Barrierematerials auftre ten, so dass eine Lücke zwischen dem Ort entsteht, aus dem das Barrierematerial in die Korngrenze diffundiert ist und dem Ort an dem das Barrierematerial nach der Herstellung angeordnet ist. Jedoch ist auch in diesem Fall das Barrierematerial kontinuierlich entlang der Korngrenze entlang einer Strecke größer 5 Nanometer oder größer 10 Nanometer angeordnet.
  • Bei einer nächsten Weiterbildung der erfindungsgemäßen Schaltungsanordnung gemäß ersten Aspekt gibt es eine amorphe elektrisch leitfähige Barrierematerialschicht, die angrenzend an die Leitstruktur angeordnet ist. Solche amorphen Schichten entstehen durch das lange Tempern. Beispielsweise entsteht aus kubisch raumzentrierten alpha Tantal bei einer Temperdauer größer 30 Minuten und einer Temperatur größer 420 Grad Celsius eine schmale Zone aus amorphem Tantal an einer Cu/Ta-Grenzfläche. Mit Bezug zu dem nachfolgend erläuterten zweiten Aspekt der Erfindung kann das amorphe Material zur Ausdiffusion von Barrierematerial entlang von Grenzflächen genutzt werden. Damit wird sowohl Barrierematerial am Viaboden oder an einer Viadeckfläche entfernt als auch Barrierematerial durch Grenzflächendiffusion auf Leitstrukturen aufgebracht. Die erforderliche Gesamttemperdauer wird bei einer Ausgestaltung durch die für das Entfernen bestimmte Temperdauer bestimmt, wenn die Grenzflächendiffusion schneller verläuft als die Korngrenzendiffusion zwischen einander benachbarten Körnern der Leitstruktur.
  • Ein zweiter Aspekt der Erfindung, der unabhängig vom ersten Aspekt genutzt werden kann, geht von der Überlegung aus, dass es durch das lange Tempern zu einer Barrierematerialdiffusion entlang von Grenzflächen der Leitstruktur zu einem anderen Material kommt, bspw. zu einem dielektrischen Material. Die Grenzflächendiffusion wird ausgenutzt, um die Leitstruktur mit Barrierematerial selbstjustierend teilweise oder vollständig zu bedecken. Auch hier steigt der elektrische Widerstand der Leitbahn in einem Bereich zwischen 3 Prozent und 6 Prozent aufgrund der nicht zu verhindernden Korngrenzendiffusion an. Jedoch verbessert sich die Stromtragfähigkeit auf Grund der allseitigen Ummantelung erheblich, so dass die geringe Vergrößerung des Widerstandes die Stromtragfähigkeit nur unwesentlich beeinträchtigt.
  • Das vor der Grenzflächendiffusion bspw. am Kupfer anliegende Barrierematerial ist bspw. ein dielektrisches Material, das ein Ausdiffundieren von Kupfer in ein nachfolgend abgeschiedenes Dielektrikum verhindern soll. Anstelle von Siliziumnitrid SiN wird bei anderen Ausführungsbeispielen auch Siliziumcarbid SiC, Siliziumkohlenstoffnitrid SiCN, oder ein Blok-Material (Barrier low k) verwendet.
  • Eine Kombination der beiden Aspekte in einer integrierten Schaltungsanordnung führt zu besonders stromtragfähigen Leitstrukturen, die allseitig mit Barrierematerial umgeben sind und an Viaböden und Viadeckflächen keine dicken Barrierematerialschichten haben.
  • Das Material für die Grenzflächendiffusion tritt besonders aus amorphen Material, bzw. aus amorphen Bereichen aus, das bzw. die sich bspw. bei längerem Tempern bildet. Deshalb enthält die erfindungsgemäße Schaltungsanordnung gemäß zweitem Aspekt eine elektrisch leitfähige Leitstruktur, die an eine amorphe Barrierematerialschicht grenzt. Eine durch die Grenzflächendiffusion entstandene Barrierematerialschicht ist bei einer Ausgestaltung dünner als 1 Nanometer, insbesondere in Bereichen mit lateralen Abmessungen größer als 10 Nanometern. Weiterhin ist die durch Grenzflächendiffusion entstandene Barrierematerialschicht homogen bzgl. ihrer Zusammensetzung, z.B. elementar. Bei einer Ausgestaltung grenzt die homogene Barriereschicht auf der einen Seite an die Leitbahn und an der anderen Seite an ein Dielektrikum, d.h. an mindestens einer Seite der Leitbahn wird keine Doppel- bzw. Mehrfachschicht aus mehreren elektrisch leitfähigen Schichten unterschiedlicher Materialzusammensetzung oder mit unterschiedlicher Materialstruktur verwendet.
  • Bei einer Weiterbildung enthält die Schaltungsanordnung außerdem eine polykristalline elektrisch leitfähige Barrierematerialschicht die zwischen der Leitstruktur (106) und dem Grenzmaterial angeordnet ist. Die amorphe Schicht ist zwischen der Barrierematerialschicht und der Leitstruktur angeordnet und besteht überwiegend aus einem elektrisch leitfähigen Material, das auch in der polykristallinen Barriereschicht enthalten ist oder aus dem die polykristalline Barriereschicht besteht.
  • Bei einer Weiterbildung der Schaltungsanordnung gemäß zweiten Aspekt ist an einer substratfernen Deckfläche der Leitstruktur eine Barrierematerialschicht ohne Überstand über ein seitlich der Leitstruktur liegendes Dielektrikum angeordnet. Bei der Verwendung eines fotolithografischen Verfahrens zur Strukturierung einer Barriereschicht auf der Deckfläche würde ein solcher Überstand an zwei voneinander abgewandten Seitenflächen der Leitstruktur auftreten. Zumindest tritt der Überstand bei Verwendung eines fotolithografischen Verfahrens aber an einer Seitenfläche auf. Dagegen gibt es bei der Verwendung eines selbstjustierenden Verfahrens keinen Überstand, d.h. insbesondere nicht bei der selbstjustierenden Beschichtung durch Grenzflächendiffusion.
  • Bei einer Weiterbildung ist die Leitstruktur eine Leitbahn, die abgesehen von Grenzen zu anderen Leitstrukturen vollständig mit einem elektrisch leitfähigen Barrierematerial umgeben ist. An mindestens einer Seitenfläche der Leitbahn ist eine Barriereschicht mit einer Schichtdicke größer 2 Nanometer oder größer 4 Nanometer angeordnet und an mindestens einer Seitenfläche der Leitbahn eine Barriereschicht mit einer Schichtdicke kleiner als 1 Nanometer angeordnet. Die dickere Barriereschicht enthält insbesondere die amorphe Barriereschicht und dient als Quelle für Barrierematerial, das auf grund der Grenzflächendiffusion beim Tempern transportiert wird und das die dünne Barriereschicht bildet.
  • Bei einer nächsten Weiterbildung besteht die Leitstruktur aus Kupfer oder aus einer Kupferlegierung mit mindestens 90 Atomprozent Kupfer. Alternativ besteht die Leitstruktur aus Gold oder aus einer Goldlegierung mit mindestens 90 Atomprozent Gold. Bei einer anderen Weiterbildung ist das Barrierematerial Tantal, Tantalnitrid, Titan, Titannitrid, Wolfram, Wolframnitrid oder Titanwolfram. Auch andere Refraktärmetalle oder Refraktärmetalllegierungen sind geeignet.
  • Die Erfindung betrifft außerdem ein Verfahren bei dem einmal oder mehrmals getempert wird. Durch das Tempern wird eine Barrierematerialschicht zwischen einer Vialeitstruktur und einer Leitbahn entfernt, durchbrochen oder um mindestens 50 Prozent oder um mindestens 90 Prozent gedünnt. Dieses Verfahren dient zum Herstellen einer Schaltungsanordnung gemäß erstem Aspekt, so dass die oben genannten technischen Wirkungen auch für das Verfahren gelten.
  • Das Barrierematerial wird bei einer Weiterbildung durch Diffusion entlang von Korngrenzen einer Leitstruktur und durch Grenzflächendiffusion entlang einer Grenzfläche zwischen zwei verschiedenen Materialien entfernt, wobei der Hauptteil des Barrierematerials durch Korngrenzendiffusion abtransportiert wird, die Grenzflächendiffusion jedoch insbesondere in Randbereichen der zu entfernenden Barrierematerialschicht unterstützend wirkt. Bei einer Alternative wird nur Korngrenzendiffusion genutzt.
  • Bei einer Ausgestaltung wird das zu entfernende Barrierematerial in einer Dicke bis 2 Nanometern abgeschieden, wobei in Summe mindestens 1,5 Stunden getempert wird. Hat das zu entfernende Barrierematerial eine Dicke im Bereich von 2 Nanometern bis 5 Nanometern, so wird bei einer anderen Weiterbildung in Summe mindestens 3 Stunden getempert. Die Temperatur beim Tempern ist dabei gleich 430°C. Bei Temperaturen größer als 430 Grad Celsius und kleiner als 500 Grad Celsius verkürzen sich die angegebenen Mindesttemperzeiten und können bspw. empirisch bestimmt werden. Durch die Einhaltung dieser Temperparameter wird eine ausreichende Entfernung des Barrierematerials gewährleistet. Die Obergrenze für die Temperdauer wird durch den Zeitpunkt bestimmt zu dem ausreichend Barrierematerial entfernt ist. Auch der noch vertretbare Durchsatz bestimmt die Temperatur und die Temperdauer. Außerdem sollten Barrierematerialschichten an Seitenwänden der Leitstruktur nicht zu stark gedünnt werden. Getempert wird bspw. in einem kontinuierlichen Tempervorgang über die genannten Zeiten oder mit mehren Tempervorgängen, wobei die genannten Zeiten die Summe der Zeiten für alle Tempervorgänge betreffen. Zwischen zwei Tempervorgängen werden bspw. Schichten abgeschieden oder strukturiert.
  • Als alternatives Maß zu den Temperparametern lässt sich angeben, dass während des Temperns zu entfernendes Barrierematerial entlang von Korngrenzen um mindestens 5 Nanometer oder um mindestens 10 Nanometer transportiert und damit ausreichend verteilt wird, um die Stromtragfähigkeit zu erhöhen. Die Erfindung betrifft außerdem ein weiteres Verfahren, bei dem einmal oder mehrmals getempert wird. Sekundär-Barrierematerial wird bei diesem Tempern aus Ursprungs-Barrierematerial durch Grenzflächendiffusion entlang der Grenzfläche einer Leitstruktur transportiert, so dass insbesondere eine Schaltungsanordnung gemäß zweitem Aspekt entsteht. Die oben genannten technischen Wirkungen gelten somit auch für das weitere Verfahren.
  • Bei einer Weiterbildung befindet sich eine Ursprungs-Barriereschicht:
    • – an einer Seitenfläche der Leitstruktur,
    • – an einer Grenze zu einer Vialeitstruktur, oder
    • – auf Teilbereichen der Fläche der Leitstruktur, an der die Grenzflächendiffusion auftritt, wobei die Schichtdicke der Ursprungs-Barriereschicht in den Teilbereichen z.B. kleiner als 10 Nanometer oder sogar kleiner als 5 Nanometer ist.
  • Besonders gut sind amorphe Ursprungsbarriereschichten als Quelle für das Barrierematerial geeignet, das entlang der Grenzfläche diffundiert. Insbesondere an schwer zu beschichtenden Kanten und Ecken der Leitstruktur sind amorphe Ursprungsbarriereschichten sehr hilfreich. Amorphe Ursprungsbarriereschichten bilden sich bspw. durch Tempern.
  • Bei einer Weiterbildung wird vor dem Tempern für die Grenzflächendiffusion durch Vortempern Barrierematerial aus dem Innern der Leitbahn an die Außenfläche der Leitbahn transportiert, insbesondere entlang von Korngrenzen. Alternativ wird durch Vortempern Barrierematerial aus einem elektrisch leitfähigen Hilfsbereich in einen Bereich der Leitbahn transportiert, in dem nach dem Entfernen des Hilfsbereiches die Außenfläche der Leitbahn liegt. Auch hier tritt während des Vortemperns insbesondere Korngrenzendiffusion auf. Durch dass Haupttempern für die Grenzflächendiffusion wird Barrierematerial aus den Korngrenzen, die an der Grenzfläche münden, an die Grenzfläche transportiert und dort durch Grenzflächendiffusion verteilt.
  • Als Maß zur Beschreibung des Haupttempervorgangs lässt sich angeben, dass die Transportstrecke des Barrierematerials während des Temperns mit Grenzflächendiffusion größer als 10 Nanometer oder größer als 20 Nanometer ist. Das Haupttempern folgt dem Vortempern bei einem Ausführungsbeispiel unmittelbar. Die Transportstrecke beträgt bei einer Ausgestaltung mindestens eine halbe minimale Leitbahnbreite einer in der Schaltungsanordnung angeordneten Leitbahn.
  • Bei einer Ausgestaltung hat die Leitstruktur eine Breite größer 200 Nanometern. In Summe wird mehr als 4 Stunden bei 430°C oder mehr als 8 Stunden bei 430°C getempert. Bei einem anderen Ausführungsbeispiel hat die Leitstruktur eine Breite im Bereich von 100 Nanometern bis 200 Nanometern, wobei in diesem Fall in Summe mehr als 2,5 h bei 430°C oder mehr als vier Stunden bei 430°C getempert wird. Bei einem weiteren Ausführungsbeispiel hat die Leitstruktur eine Breite größer 50 Nanometer und es werden mehr als 60 Minuten (430°C) getempert. Die Temperatur beim Tempern ist dabei größer als 420 Grad Celsius und kleiner als 510 Grad Celsius bzw. größer als 430 Grad Celsius und kleiner als 500 Grad Celsius. Durch die Einhaltung dieser Temperparameter wird ein ausreichendes Aufbringen des Barrierematerials in noch nicht bedeckten Leitbahnbereichen gewährleistet. Die Obergrenze für die Temperdauer wird durch die Leitbahnbreite bzw. durch die Breite der Leitbahn und die Breite von Ablagerungen auf zu beschichtenden Bereichen der Leitstruktur bestimmt. Getempert wird bspw. in einem kontinuierlichen Tempervorgang über die genannten Zeiten oder mit mehren Tempervorgängen, wobei die genannten Zeiten die Summe der Zeiten für alle Tempervorgänge betreffen. Zwischen zwei Tempervorgängen werden bspw. Schichten abgeschieden oder strukturiert. Für die durch Grenzflächendiffusion zurückgelegte Entfernung gibt eine Wurzelfunktion die Abhängigkeit von der Temperzeit an. Umgekehrt ist die Abhängigkeit quadratisch, siehe die Formel am Ende der Beschreibung.
  • Bei Ausgestaltungen wird die zum Tempern erforderliche Wärme stärker den Leitstrukturen zugeführt als in der Umgebung der Leitstrukturen, vorzugsweise durch Mikrowelleneinkopplung, durch induktive Einkopplung oder durch Laserstrahleinkopplung. Durch die selektive Wärmezuführung lässt sich die Temperaturbelastung von wärmeempfindlichen Bereichen der Schaltungsanordnung trotz der langen Temperdauer und der hohen Tempertemperaturen verringern. Insbesondere Diffusionsbereiche von Halbleiterbauelementen oder wärmeempfindliche "low k"-Dielektrika werden so geschützt.
  • Im folgenden werden Ausführungsbeispiele der Erfindung anhand der beiliegenden Zeichnungen erläutert. Darin zeigen:
  • 1 eine Herstellungsstufe zur Beschichtung einer Leitbahn durch Grenzflächendiffusion,
  • 2 eine Herstellungsstufe eines Verfahrens, bei dem die Grenzflächendiffusion durch nicht konforme Abscheidung von Barrierematerial unterstützt wird,
  • 3 eine Herstellungsstufe eines Verfahrens, bei dem nach einer selektiven Beschichtung noch unbeschichtete Bereiche durch Grenzflächendiffusion beschichtet werden,
  • 4 eine Herstellungsstufe eines Verfahrens, bei dem durch Vortempern im Innern der Leitbahn eingebrachtes Barrierematerial aus dem Innern der Leitbahn an eine spätere Außenfläche der Leitbahn transportiert wird,
  • 5 eine Herstellungsstufe eines Verfahrens, bei dem durch Vortempern Barrierematerial aus einem an die Leitbahn angrenzenden Hilfsbereich an eine spätere Außenfläche der Leitbahn transportiert wird,
  • 6 eine Herstellungsstufe eines Verfahrens, bei dem ein CMP-Prozess unterbrochen wird, um Barrierematerial ganzflächig abzuscheiden und durch Vortempern in Korngrenzen einzutreiben,
  • 7 eine Herstellungsstufe eines Verfahrens, bei dem eine Barrierematerialschicht durch ein fotolithografisches Verfahren strukturiert wird,
  • 8A und 8B Herstellungsstufen eines Verfahrens, bei dem eine Leitstruktur, die mit einem anderen Verfahren als ein Damascene-Verfahren hergestellt worden ist, vollständig mit einem Barrierematerial umgeben wird,
  • 9 eine Herstellungsstufe eines Verfahrens, bei dem Barrierematerial an einem Viaboden in die darunter liegende Leitbahn entfernt wird,
  • 10 eine Herstellungsstufe eines Verfahrens, bei dem Barrierematerial an einem Viaboden in die darunter liegende Leitbahn und in eine angrenzende Vialeitstruktur entfernt wird, und
  • 11 eine Herstellungsstufe eines Verfahrens, bei dem an einer Viadeckfläche Barrierematerial entfernt wird.
  • Die 1 zeigt eine Herstellungsstufe zur Beschichtung einer Leitbahn durch Grenzflächendiffusion. Eine integrierte Schaltungsanordnung 100 enthält in einem nicht dargestellten Siliziumsubstrat eine Vielzahl von Halbleiterbauelementen, z.B. von Transistoren. In einer Isolierlage 102 befindet sich eine mit einer elektrisch leitfähigen Barriereschicht 104 ausgekleidete Aussparung. Die Barriereschicht 104 ist beispielsweise eine Tantal/Tantalnitrid Doppelschicht, bei der das Tantalnitrid an der Isolierlage 102 liegt, oder eine Tantalschicht, insbesondere eine α-Tantalschicht mit einer Schichtdicke beispielsweise im Bereich von 10 bis 50 nm. Im Ausführungsbeispiel hat die Aussparung eine Breite von beispielsweise 600 nm. In die Aussparung wurde nach dem Aufbringen der Barriereschicht 104 Kupfermaterial eingebracht. Anschließend wurde das Kupfer mit Hilfe eines chemischmechanischen Polierverfahrens (CMP) planarisiert, wobei eine Leitbahn 106 in der Aussparung entstand. Nach dem Planarisieren wurde eine dielektrische Barriereschicht 108 ganzflächig abgeschieden, beispielsweise eine Siliziumnitridschicht mit einer Schichtdicke im Bereich von 30 nm bis 60 nm.
  • Nach dem Abscheiden der dielektrischen Barriereschicht 108 wurde ein Temperverfahren bei Temperaturen von 450°C für eine Zeitdauer von mehreren Stunden durchgeführt, wobei Barrierematerial 104 entlang der Grenzfläche zwischen der dielektrischen Barriere 108 und der Leitbahn 106 von beiden Seitenflächen der Leitbahn 106 her durch Grenzflächendiffusion die Deckfläche der Leitbahn 106 beschichtet, siehe Pfeile 110. Gleichzeitig tritt eine nicht zu verhindernde Korngrenzendiffusion auf, siehe Pfeile 112.
  • Nach dem langen Tempern hat sich Barrierematerial an der Kupfer/Siliziumnitrid-Grenzfläche angereichert, bspw. gibt es an Kupferkorngrenzen keine direkte Kupfer-Siliziumnitrid-Grenzfläche mehr, so dass die bezüglich Elektromigration schwächste Stelle an der Leitbahn 106 beseitigt worden ist. Die Folge ist eine erhebliche Erhöhung der Stromtragfähigkeit der Leitbahn 106, beispielsweise um den Faktor 8 bei 0,6 μm breiten Kupferleitbahnen. Aufgrund der dünnen Tantalschicht an der Deckfläche der Leitbahn 106 sind Kupferdiffusionswege entlang der Grenzfläche Kupfer zu Siliziumnitrid blockiert. Dies führt zu einer verlängerten Lebensdauer der Leitbahn 106 und zu einer verbesserten Stromtragfähigkeit.
  • Die lange Temperung unterscheidet sich mindestens in Zeit und/oder Temperatur sowie auch noch im Prozess-Stadium von der bekannten ersten Temperung nach der Kupferabscheidung 106, durch welche die Ausheilung des Korns und des Gefüges erreicht wird. Überraschenderweise diffundiert das Barrieremetall längs der Kupfer/SiN-Grenzfläche schneller als entlang der Kupferkorngrenzen. Dies führt zu einer vergleichsweise schnellen Gleichverteilung und Anreicherung des Tantals an der kritischen Grenzfläche. Hierbei steht Cu/SiN exemplarisch für alle üblichen Kupfer/Dielektrika-Grenzflächen, d.h. beispielsweise für eine Grenzfläche Kupfer zu SiC (Siliziumcarbid), SiCN (Siliziumkohlenstoffnitrid), BLOK (Barrier with Low Dielectric Constant k). Im Ausführungsbeispiel enthält die an der Grenzfläche entstehende Tantalschicht nur einige Atomlagen, beispielsweise nur weniger als fünf Atomlagen oder nur weniger als zehn Atomlagen. Durch das lange Tempern entsteht außerdem zwischen der Barriereschicht 104 und der Leitbahn 106 eine amorphe Tantalschicht aus der Tantal für die Grenzflächendiffusion austritt.
  • Es handelt sich um einen einfachen, kostengünstigen Prozess, der selbstjustierend ist und keiner Lithographie- oder Ätzschritte bedarf um Barrierematerial an die beschriebenen Stellen zu bringen und um wie beschrieben zu wirken. Die dafür benötigten Anlagen sind in jeder Fertigung vorhanden bzw. kostengünstig anzuschaffen. Komplizierte Lithografie- oder Ätzschritte sowie aufwendige Prozesskontrollen werden nicht benötigt. Das Verfahren ist sowohl für Damascene- als auch für RIE- (Reactive Ion Etching)-Leitbahnen anwendbar. Das Verfahren wird in mindestens einer oder in allen Metall lagen der integrierten Schaltungsanordnung 100 angewendet. Kombinationen mit anderen thermischen Prozessen sind auch möglich.
  • Bei dem an Hand der 1 dargestellten Ausführungsbeispiel wurde die Tantalgrenzflächendiffusion bei unerwartet niedrigen Temperaturen ab ca. 400°C und kleiner als 500°C durch die folgenden Maßnahmen erreicht:
    • – Die Barriereschicht 104 lag als Doppelschicht Tantalnitrid/Tantal vor,
    • – die TaNX-Komponente war unterstöchiometrisch, wobei X kleiner als 1 bzw. kleiner als 0,75 war,
    • – das Tantalmetall der Barriereschicht 104 lag teilweise als α-Tantal vor, d.h. als kubisch raumzentrierte Kristallstruktur,
    • – die dielektrische Barriere 108 wurde mit PECVD (Plasma Enhanced Chemical Vapor Deposition) als Multilagenschicht abgeschieden,
    • – das Barrierematerial, d.h. hier das Tantal, bildete in dem beim Tempern verwendeten Temperaturbereich keine Legierung mit dem Kupfer und zeigte eine in Kupfer vernachlässigbare Löslichkeit,
    • – an der Kupfer/Tantalgrenzfläche, d.h. an der Seitenwand und am Boden der Leitbahn 106, bildete sich eine amorphe, stark tantalreiche Zone, in der und aus der Tantal bei den überraschenden milden thermischen Aktivierungen ausdiffundiert,
    • – am Ende des Aktivierungsprozesses wurde vergleichsweise langsam abgekühlt, wobei die Abkühlrate kleiner als 20 Kelvin/Minute war, und
    • – an der Kupfer/Siliziumnitrid-Grenzschicht reicherte sich eine dünne, amorphe, tantalhaltige Schicht an, deren Wirkung bei einer Dicke von wenigen Atomlagen bzw. bereits bei Bruchteilen einer Atomlage einsetzt.
  • Die folgenden experimentellen Ergebnisse gelten für das Ausführungsbeispiel gemäß der 1:
    • – Die Grenzflächendiffusion des Tantals ist bei 0,6 μm breiten Leitbahnen 106 ab 430°C für zehn Stunden Temperzeit zu beobachten. Es ergibt sich eine Verbesserung der Lebensdauer um mindestens den Faktor 10 für eine 0,6 μm breite Leitbahn 106 nach Lagerung bei 450°C für eine Temperzeit von zwanzig Stunden ohne zusätzliche "unterstützende Prozesse". Unterstützende Prozesse dienen der verstärkten Heranführung von Barrierematerial an oder in den Grenzflächenbereich an dem die Grenzflächendiffusion stattfinden soll. In schmaleren Bahnen ist eine geringere Temperzeit notwendig, weil die Diffusionslänge proportional der Wurzel aus der Temperzeit ist. So ergibt sich bei einer 200 nm breiten Bahn, d.h. bei einer 0,18 μm-Technologie, bei einer Diffusionslänge von 100 nm eine Temperzeit von 2,2 Stunden bei 450°C.
    • – Die Tantaldiffusion konnte mittels SIMS (Secundary Ion Mass Spectrometry) und TEM (Transmission Electron Microscopy) nachgewiesen werden, und
    • – die Tantaldiffusion führte zu einem Widerstandsanstieg kleiner als 5 % in 0,6 μm breiten Leitbahnen bei der oben erwähnten deutlich höheren Stromtragfähigkeit.
  • Überraschenderweise bewirken bereits geringe Tantalanteile an der Grenzfläche zwischen dem Barrierematerial 108 und dem Kupfer 106 , z.B. Tantalanteile kleiner als 5 Prozent der Grenzfläche, eine beträchtliche Erhöhung der Elektromigrationsfestigkeit, insbesondere wenn Kupferkorngrenzen an der Grenzfläche mit Tantal bedeckt sind. Bei der Erläuterung der Ausführungsbeispiele steht Tantal stellvertretend für alle metallische Barrierematerialien, die in dem beim Tempern genutzten Temperaturbereich keine Legierung mit Kupfer bilden und nur eine sehr geringe Löslichkeit in Kupfer besitzen. So kann z.B. an Stelle von Tantal bzw. Tantalnitrid auch Wolfram, Wolframnitrid, Titanwolfram, Titan oder Titannitrid als Barriere verwendet werden. Das Barrierenmaterial stammt alternativ auch aus einer nichtleitenden Barriere bzw. ist elektrisch nichtleitend. Es diffundiert dann das entsprechen de Element oder die entsprechende Komponente entlang der Grenzfläche und führt zu einer gleichmäßigen Verteilung an der Grenzfläche. Im übrigen lassen sich die an Hand der Figuren erläuterten Verfahren sowohl in Metallisierungsebenen durchführen, die mit einem Einfach-Damascene- oder mit einem Dual-Damascene-Verfahren hergestellt worden sind. Auch lassen sich die Verfahrensschritte anwenden, wenn "subtraktiv" erzeugte Leitbahnen benutzt werden d.h. z.B. durch RIE, lift-off, pattern plating oder ähnliches.
  • Die Aktivierung der Tantaldiffusion aus der Barriere kann nach unterschiedlichen Prozessstadien bei der Waferbearbeitung erfolgen, z.B.:
    • – nach dem Abdecken mit Siliziumnitrid oder einem anderen Dielektrikum, insbesondere aber vor dem Herstellen weiterer Metallisierungslagen der Schaltungsanordnung,
    • – nach der Fertigstellung einer bestimmten Metallisierungslage, insbesondere aber vor der Herstellung weiterer Metallisierungslagen,
    • – nach der Herstellung mehrerer Metallisierungslagen, aber noch vor der Herstellung weiterer Metallisierungslagen,
    • – nach der Herstellung aller Metallisierungslagen der Schaltungsanordnung, insbesondere aber noch vor der finalen Passivierung des Wafers, oder
    • – nach der finalen Passivierung des Wafers.
  • Die zuletzt genannte Vorgehensweise hat den Vorteil, dass die ohnehin vorgesehene finale Temperung und der Temperschritt in einem einzigen Verfahren durchgeführt werden können. Der Aktivierungsschritt kann gegebenenfalls auch mit anderen Schritten vor Auslieferung des Bausteins zusammengelegt werden, z.B. mit einem sogenannten Burn-in-Schritt, bei dem die Schaltungsanordnung bei erhöhter Temperatur getestet oder stabilisiert wird.
  • Zur thermischen Aktivierung des Wafers bezüglich der Grenzflächendiffusion gibt es unter anderem die folgenden Möglichkeiten:
    • – Die thermische Aktivierung des Wafers oder von Einzelbausteinen bei Temperaturen größer 350°C bis 550°C mit Hilfe eines Ofenprozesses, insbesondere für unterschiedliche Zeiten,
    • – falls ein geringeres Temperaturbudget verwendet werden muss, um z.B. Transistoren, Metallisierungselemente oder Dielektrika vor Degradation zu bewahren, so können auch verschiedene Methoden zur selektiven Aufheizung der Leitbahnen bzw. zur selektiven Aufheizung von Randbereichen der Leitbahnen verwendet werden, unter anderem:
    • – eine selektive Erwärmung der Leitbahn durch Mikrowel lenanregung, bevorzugt im Bereich der Resonanzfrequenz einer Leitbahn. Die Resonanzfrequenzen sind längenabhängig und liegen im Bereich kleiner als 1000 GHz. Realisiert werden kann die Mikrowellenanregung z.B. mit Hilfe einer Wanderfeldröhre (Magnetron) oder eines Hohlraumresonators.
    • – Die selektive Heizung der Metallstrukturen ist ebenfalls möglich, z.B. durch indirekte Heizung oder durch induktive Energieeinkopplung in den Wafer. Benötigte Frequenzen liegen im Bereich von 10 kHz bis 1 MHz. Aufgrund der Leitbahndimensionen ist der Skineffekt vernachlässigbar. In einem Sonderfall werden als "Heizdraht" oder als Spulenwicklung Strukturelemente verwendet, die bereits auf dem Wafer vorliegen. Beispielsweise aus den ohnehin in jeder Ebene benötigten metallischen Stützstellen aufgebaut oder aus Elementen, die dafür zusätzlich integriert werden.
    • – Andere Methoden der selektiven Heizung nutzen opti sche Verfahren zur Energieeinspeisung. Die Selektivität kann z.B. durch eine räumliche Begrenzung des aktivierten Bereichs erreicht werden, beispielsweise durch eine lokale Erwärmung der Leitbahn mittels Laser oder durch Nutzung der bevorzugten Lichtabsorpti on und bevorzugten Aufheizung metallischer Strukturen im Vergleich zu Dielektrika, wie sie beispielsweise bei RTP-Verfahren (Rapid Thermal Processing) bekannt ist.
  • Bei den folgenden Ausführungsbeispielen gibt es bspw. zumindest in einigen Metallisierungslagen neben schmalen Leitbahnen mit minimaler Strukturbreite auch deutlich breitere Leitbahnen, die z.B. je nach Technologie und Designregel bis zu 25 μm breit sind. Die in den breiten Leitbahnen fließenden Ströme haben entweder eine vergleichsweise geringe Stromdichte im Vergleich zu den schmalen Bahnen oder bei Hochstromanwendungen sind die Stromdichten in den breiten Leitbahnen vergleichbar mit den Stromdichten in den schmalen Leitbahnen. Werden an Stelle von Siliziumdioxid als Isoliermaterial sogenannte "Low-k-Dielektrika" verwendet, so sind diese thermisch sehr empfindlich. Im erstgenannten Fall ist der Diffusionsweg längs der Kupfer/Siliziumnitridgrenzfläche sehr lang und die benötigte Tantalmenge zur Absättigung der Grenzfläche ist relativ groß. Im zweiten Fall muss das thermische Budget für die Aktivierung in zulässigen Grenzen gehalten werden. In solchen Fällen können unterstützend weitere Prozesse angewandt werden, die zusätzliche Tantalmengen direkt an die oder nahe an die spätere Kupfer/Siliziumnitridgrenzfläche bringen. Dadurch wird insbesondere in diesen besonderen Fällen die gewünschte höhere Stromtragfähigkeit ohne Einschränkung sichergestellt. Die unterstützenden Prozesse werden im Folgenden an Hand der 2 bis 8B näher erläutert. Dabei werden sowohl unterstützende Prozesse erläutert, die eine lange thermische Aktivierung voraussetzen als auch unterstützende Prozesse, die ohne eine solche bzw. mit einer kurzen thermische Aktivierung auskommen.
  • Die 2 zeigt eine Herstellungsstufe eines Verfahrens, bei dem die Grenzflächendiffusion durch nicht-konforme Abscheidung von Barrierematerial unterstützt wird. Eine Schaltungsanordnung 100b enthält zusätzlich zu einem nicht darge stellten Halbleitersubstrat eine Isolierlage 102b, z.B. aus Siliziumdioxid. Eine Aussparung für eine Kupferleitbahn 106b wird mit geringen Breite im Bereich von beispielsweise 130 nm bis 500 nm erzeugt. Um die Tantalbarriere bzw. die Tantalnitridbarriere an der Seitenwand der Leitbahn 106 durch den bei der späteren Temperung entstehenden Materialtransport nicht zu stark "auszudünnen", wird die für die Grenzflächendiffusion notwendige Tantalmenge bereits vorher durch eine gezielte Mehrabscheidung beim Aufbringen einer Barriereschicht 104b abgeschieden, beispielsweise durch Verwendung eines nicht konform ausgeführten PVD-Verfahrens (Physical Vapor Deposition). Dadurch wird der größte Teil des Materials im oberen Bereich der Aussparung bzw. des Trenches und damit nahe zu der Kupfer/Siliziumnitrid-Grenzfläche, an der die schnellere Diffusion stattfindet, abgelagert. Die nicht-konforme Abscheidung lässt sich durch geeignete Wahl der Plasmaspannungen und der Gasflüsse bei dem PVD-Verfahren weiter unterstützen. Auch lässt sich ein Ausdünnen des Barrierematerials an den Seitenwänden der Leitbahn 106b oder ein zu hohes, „nichtverträgliches" Temperaturbudget dadurch vermeiden, dass zusätzlich "unterstützende" Prozesse verwendet werden, wie sie unten an Hand der 3 bis 8B erläutert werden.
  • Bei dem Verfahren gemäß 2 ist die nichtkonforme Abscheidung der unterstützende Prozess. An die in 2 dargestellte Herstellungsstufe schließt sich ein Planarisierungsschritt an, bei dem das über die Aussparung hinausragende Kupfer und Tantal mit Hilfe eines chemisch-mechanischen Polierverfahrens entfernt wird. Anschließend wird eine dielektrische Barriereschicht, z. B. eine Siliziumnitridschicht, abgeschieden. Danach wird bspw. Siliziumdioxid für die nächste Via- oder Metallisierungslage abgeschieden. Anschließend wird die lange Temperung mit Grenzflächendiffusion durchgeführt.
  • Wie in der 3 dargestellt, wird eine Schaltungsanordnung 100c hergestellt, indem in eine Isolierlage 102c eine Ausspa rung für eine Leitbahn eingebracht wird. Es wird ganzflächig eine elektrisch leitfähige Barriereschicht 104c abgeschieden, z. B. eine Tantalschicht. Danach wird Kupfer abgeschieden und planarisiert, wobei auch Tantal außerhalb der Aussparung für eine Leitbahn 106c entfernt wird. Danach wird bei einer ersten Verfahrensvariante Tantal selektiv durch einen CVD-Prozess (Chemical Vapor Deposition) unter Verwendung von z.B. TaCl5 oder von Ta(OC2H5)5 und wasserstoffhaltigen oder anderen reduzierenden Gasen abgeschieden. Die selektive Abscheidung von Tantal durch CVD erfolgt beispielsweise bei 400°C.
  • Die metallische Kupferoberfläche ist unmittelbar nach dem CMP-Schritt und einem gegebenenfalls durchgeführten Säuberungsschritt besonders reaktiv und eine geeignete Oberfläche für die selektive Tantalabscheidung, weil an ihr Wasserstoff leicht dissoziiert und die Tantalabscheidung bei relativ niedrigen Temperaturen erfolgen kann.
  • Bei einer zweiten Verfahrensvariante wird nach dem CMP-Verfahren Tantal selektiv mit einer außenstromlosen Abscheidung aus einer Elektrolytlösung abgeschieden. Gegebenenfalls wird vorher ein Reinigungsschritt und eine Vorbehandlung sowie eine geeignete Aktivierung der Leitbahnoberfläche durchgeführt.
  • Bei beiden Verfahrensvarianten wird nach der selektiven Tantalabscheidung einer dielektrischen Barriereschicht, z.B. einer Siliziumnitridschicht, aufgebracht. Danach wird bspw. Siliziumdioxid für die nächste Isolierlage aufgebracht. Zu diesem Zeitpunkt oder zu einem späteren Zeitpunkt erfolgt das lange Tempern zum Hervorrufen der Grenzflächendiffusion.
  • Im Ausführungsbeispiel wird eine elektrisch leitfähige Barriereschicht mit einer Schichtdicke kleiner als 10 nm oder sogar mit einer Schichtdicke kleiner als 5 nm selektiv abgeschieden. Bei derart dünnen selektiv abgeschiedenen Schichten kann nicht gewährleistet werden, dass die Deckfläche der Leitbahn 106c vollständig bedeckt ist. Insbesondere an Fehlstellen oder an verunreinigten Stellen, an denen sich beispielsweise schon Kupferoxide gebildet haben, gibt es Bereiche, die noch nicht mit Tantal bedeckt sind. Durch den langen Temperschritt wird erreicht, dass auch diese Bereiche mit Tantal bedeckt werden und so die Stromtragfähigkeit der Leitbahn 106c erheblich erhöhen.
  • Die 4 zeigt einen weiteren "unterstützenden" Prozess. Bei der Herstellung einer Schaltungsanordnung 100d wird in einer Isolierlage 102d eine Aussparung für eine Leitbahn 106d erzeugt. Nach dem Erzeugen der Aussparung wird eine Tantal-Barriereschicht 104d ganzflächig abgeschieden. Danach wird Kupfer galvanisch mit Hilfe einer Spannungsquelle abgeschieden. Bevor, gerade wenn oder unmittelbar nachdem die Aussparung vollständig mit Kupfer gefüllt ist, wird eine Hilfsschicht 200 aus elektrisch leitfähigem Barrierematerial, z. B. aus Tantal, abgeschieden, beispielsweise in einer Dicke von 30 nm bis 50 nm. Nach dem Abscheiden der Hilfsschicht 200 wird weiter Kupfer in der Aussparung abgeschieden, so dass Kupfer zwischen der Hilfsschicht 200 und der Öffnung 204 der Aussparung liegt. Anschließend wird ein CMP-Verfahren durchgeführt, um Kupfer und Tantal zu entfernen, das außerhalb der Aussparung liegt. Das CMP-Verfahren wird beim Erreichen der Öffnung 204 der Aussparung beendet. Anschließend wird eine dielektrische Schicht, insbesondere eine Barriereschicht, z. B. eine Siliziumnitridschicht, abgeschieden und es wird der lange Temperschritt mit Grenzflächendiffusion durchgeführt. Dabei diffundiert Barrierematerial 104d von den Seitenflächen der Leitbahn 106d entlang der Grenzfläche zur Siliziumnitridschicht/Kupferleitbahn 106d. Außerdem diffundiert Material der Hilfsschicht 200 aus dem Innern der Leitbahn 106d entlang von Korngrenzen an die Grenzfläche Siliziumnitrid/Kupfer und verteilt sich an der Grenzfläche durch Grenzflächendiffusion.
  • Zur Abscheidung der Hilfsschicht 200 wird bei einer ersten Verfahrensvariante eine elektrolytische Abscheidung einer stark tantalhaltigen Komponente aus einem Zweikomponentenelektrolysebad genutzt, das Kupferverbindungen und Tantalverbindungen enthält, insbesondere Komplexverbindungen. Durch Veränderung der Galvanisierspannung lässt sich auf die Abscheidung der Hilfsschicht 200 umschalten. Nach dem Abscheiden einer ausreichend dicken Hilfsschicht wird die Spannung wieder zurückgeschaltet, so dass wieder hauptsächlich Kupfer abgelagert wird. Die Dicke der Hilfsschicht 200 lässt sich beispielsweise über den Elektrolysestrom kontrollieren. Die benötigten Elektrolyse-Spannungen lassen sich durch geeignete Wahl der Komplexbildner und des pH-Wertes ausreichend unterschiedlich und in gut kontrollierbaren Bereichen einstellen.
  • Bei einer zweiten Verfahrensvariante werden zur Abscheidung des Kupfers und zur Abscheidung der Hilfsschicht 200 getrennte Elektrolysebäder in separaten Anlagen oder in zwei benachbarten Kammern einer Mehrkammeranlage verwendet.
  • Die 5 zeigt eine Herstellungsstufe eines "unterstützenden" Prozesses, bei dem zur Herstellung einer Schaltungsanordnung 100e in einer Isolationsschicht 102e eine Aussparung für eine Leitbahn 106e erzeugt wird. Nach dem Erzeugen der Aussparung wird ganzflächig eine Barriereschicht 104e, z.B. aus Tantal, abgeschieden. Danach wird Kupfer mit Hilfe eines Verfahrens abgeschieden, bei dem im periodischen Wechsel Kupfer abgeschieden und dann rein elektrochemisch oder mit mechanischer Unterstützung partiell abgetragen wird. Beispielsweise bietet die Firma Nutool ein solches Verfahren unter dem Namen "ECMD" an. Die Anwendung eines solchen Verfahrens führt zu einer Planaren Kupferoberfläche mit einer nur geringen durch CMP zu entfernenden Kupferschichtdicke oberhalb der Öffnung der Aussparung für die Leitbahn 106e. Beispielsweise liegt die Schichtdicke des Kupfers außerhalb der Aussparung für die Leitbahn 106e in einem Bereich von 30 nm bis 60 nm, siehe Abstand A1. Nach dem Aufbringen des Kupfers wird eine dünne Barriereschicht 250, z.B. aus Tantal, ganzflächig aufgebracht, beispielsweise durch Sputtern. Die Dicke der Tantalschicht 250 liegt beispielsweise im Bereich von 30 nm bis 50 nm.
  • Anschließend wird ein Eintreibschritt durchgeführt, bei dem Material der Tantalschicht 250 entlang von Korngrenzen bis in die Nähe der Öffnung der Aussparung entlang von Korngrenzen diffundiert. Gegebenenfalls wird der Eintreibschritt so lange durchgeführt, bis eingetriebenes Barrierematerial mehr als 10 Nanometer oder mehre als 20 Nanometer innerhalb der Aussparung für die Leitbahn 106e liegt.
  • Nach dem Vortemperschritt wird ein CMP-Verfahren durchgeführt, bei dem Kupfer und Material der Tantalbarriere 104e außerhalb der Aussparung entfernt werden. Anschließend wird eine dielelektrische Barriereschicht, z.B. eine Siliziumnitridschicht, abgeschieden. Im weiteren Verlauf der Herstellung der Schaltungsanordnung 100e wird ein langer Haupttemperschritt mit Grenzflächendiffusion durchgeführt, bei dem Barrierematerial 252 an Korngrenzen im Innern der Leitbahn 106e an die Grenzfläche Kupfer/Siliziumnitrid austritt und dort an der Grenzfläche diffundiert. Außerdem tritt Grenzflächendiffusion von Barrierematerial auf, das aus der Tantalbarriere 104e stammt.
  • Die 6 zeigt eine weitere Variante für einen "unterstützenden" Prozess bei der Herstellung einer Schaltungsanordnung 100f, die eine Isolierlage 102f enthält. In der Isolierlage 102f wird eine Aussparung für eine Leitbahn 106f mit Hilfe eines fotolithografischen Verfahrens erzeugt. In der Aussparung wird eine Barriereschicht 104f abgeschieden, z. B. eine Tantalschicht. Danach wird Kupfer galvanisch abgeschieden und mit Hilfe eines CMP-Verfahrens bis zur Barriereschicht 104f planarisiert. Beim Erreichen der Barriereschicht 104f wird das CMP-Verfahren unterbrochen. Das Erreichen der Barriereschicht 104f wird beispielsweise durch eine Endpunkterfassung automatisch erfasst und lässt sich auf einfache Art ermitteln. Anschließend wird eine dünne Tantalbarriere, beispiels weise mit einer Schichtdicke kleiner als 20 Nanometer oder kleiner als 5 Nanometer, aufgebracht. Geeignete Verfahren zum Aufbringen der Barriereschicht 300 sind CVD, PVD, elektrolytische Abscheidungen oder Implantationen.
  • Nach dem Aufbringen der Barriereschicht 300 wird eine Vortemperung durchgeführt, bei der Material der Barriereschicht 300 entlang von Korngrenzen in die Leitbahn 106f eindringt, insbesondere in Bereiche, die mehr als 10 Nanometer oder mehr als 20 Nanometer von der Öffnung der Aussparung für die Leitbahn 106f entfernt sind. Danach wird Material der Barriereschicht 106f außerhalb der Aussparung für die Leitbahn 106f mit Hilfe eines CMP-Verfahrens entfernt. Nach dem Abschluss des CMP-Verfahrens wird eine der Barriereschicht 108, entsprechende Barriereschicht, z.B. aus Siliziumnitridschicht, abgeschieden. Im weiteren Verfahrensverlauf wird eine längere Temperung durchgeführt, bei der Barrierematerial der Barriereschicht 300 aus den Korngrenzen an die Grenzfläche Kupfer/Siliziumnitrid ausgetrieben wird und entlang dieser Grenzfläche diffundiert. Zusätzlich tritt auch Grenzflächendiffusion von Barrierematerial auf, das aus der Barriereschicht 104f stammt.
  • Die 7 zeigt einen "unterstützenden" Prozess, bei dem bei der Herstellung einer Schaltungsanordnung 100g eine Isolierschicht 102g aus Siliziumdioxid aufgebracht wird. In der Isolierschicht 102g wird eine Aussparung für eine Leitbahn 106g erzeugt. Nach dem Erzeugen der Aussparung wird eine elektrisch leitfähige Barriereschicht 104g ganzflächig abgeschieden, beispielsweise eine Tantalschicht mit einer Schichtdicke im Bereich von 10 bis 30 nm. Danach wird Kupfer abgeschieden und es wird planarisiert, wobei Kupfer und Material der Barriere 104g außerhalb der Aussparung für die Leitbahn 106g entfernt werden. Nach dem Planarisieren wird eine dünne Tantalschicht 350 ganzflächig aufgebracht, beispielsweise mit einer Schichtdicke kleiner als 10 nm oder sogar kleiner als 5 nm. Anschließend wird die Tantalschicht 350 mit Hilfe eines fotolithografischen Verfahrens strukturiert, wobei ein Resistbereich 352 verwendet wird, der die Leitbahn 106g abdeckt. Nach dem Entfernen des Resists wird eine dielektrische Barriereschicht, z. B. eine Siliziumnitridschicht, abgeschieden. Nach dem Abscheiden der Siliziumnitridschicht wird im weiteren Verfahrensverlauf eine Temperung durchgeführt, durch die noch nicht bedeckte Bereiche der Deckfläche der Leitbahn 106g mit Barrierematerial aufgrund von Grenzflächendiffusion bedeckt werden.
  • Die 8A und 8B zeigen Herstellungsstufen bei der Herstellung einer integrierten Schaltungsanordnung 100h. Nach der Herstellung einer Isolierlage 102h und einer darin eingebetteten Vialeitstruktur 106h wird ganzflächig eine Barriereschicht 400 abgeschieden, beispielsweise eine Tantalschicht mit einer Schichtdicke im Bereich von 10 nm bis 50 nm. Nach dem Abscheiden der Barriereschicht 400 wird auf der Barriereschicht 400 eine Keimbildungsschicht aus Kupfer abgeschieden. Danach wird eine Resistschicht aufgebracht und strukturiert, wobei Resistbereiche 404 und 405 entstehen, zwischen denen eine Aussparung für eine Leitbahn 406 angeordnet ist, die anschließend mit Hilfe eines galvanischen Verfahrens durch selektive (lokale) Abscheidung in die Öffnung erzeugt wird.
  • Die Resistbereiche 404 und 405 werden nach dem Erzeugen der Leitbahn 406 entfernt. Anschließend wird, wie in der 8B gezeigt, eine dünne Barriereschicht 450 abgeschieden, die die Deckfläche der Leitbahn 406 und die Seitenflächen der Leitbahn 406 bedeckt. Die Barriereschicht 450 besteht beispielsweise aus Tantal und hat eine Schichtdicke von beispielsweise kleiner als 10 nm oder kleiner als 5 nm. Die Barriereschicht 450 wird beispielsweise mit einem CVD-Verfahren, mit einem PVD-Verfahren oder mit einem außenstromlosen galvanischen Verfahren abgeschieden. Nach dem Abscheiden der Barriereschicht 450 wird eine dielektrische Barriereschicht 452 abgeschieden, z. B. eine Siliziumnitridschicht, um an Bereichen der Leitbahn 406, die nicht von der Barriereschicht 450 bedeckt sind, eine Grenzfläche zu bilden.
  • Nach dem Abscheiden der Siliziumnitridschicht 452 wird eine längere Temperung durchgeführt, um auch die noch nicht von Tantal bedeckten Bereiche auf der Deckfläche der Leitbahn 406 oder an den Seitenflächen der Leitbahn 406 durch Grenzflächendiffusion von Tantal mit Tantal zu bedecken.
  • Danach wird mit Hilfe eines fotolithografischen Verfahrens oder mit Hilfe eines anisotropen Ätzverfahrens der auf der Isolierlage 102h angeordnete Schichtstapel aus der Siliziumnitridschicht 452, der Barriereschicht 450, der Keimbildungsschicht 402 und der Barriereschicht 400 entfernt. Die Leitbahn 406 bleibt also von einer Tantalschicht umgeben. Durch das lange Tempern wird die Barriereschicht 400 jedoch auch an der Grenze zwischen der Leitbahn 104h und der Leitbahn 406 gedünnt bzw. entfernt.
  • Bei weiteren Ausführungsbeispielen wird die Leitbahn 406 mit Hilfe eines Kupfer-RIE-Verfahrens (Reactive Ion Etching), mit Hilfe eines sogenannten Lift-Off-Verfahrens oder mit Hilfe eines anderen "subtraktiven" Verfahrens erzeugt.
  • Bei allen an Hand der 1 bis 8B erläuterten Varianten wird zusätzliches Tantal direkt an die oder nahe an die horizontale bzw. vertikale (8B) Kupfer/Dielektrikums-Grenzfläche gebracht. Durch eine nachfolgende kurze Aktivierung kann nahe an der Grenzfläche angeordnetes Material an die spätere Kupferschicht der Siliziumnitrid-Grenzfläche getrieben werden. In anderen Fällen wird erst die Siliziumnitrid-Deckschicht aufgebracht und das Barrierenmetall wird dann durch Diffusion längs der Kupfer/Dielektrikums-Grenzfläche verteilt. In allen Fällen, in denen ein "unterstützender" Prozess eingesetzt wird, kann das "unterstützend" eingebrachte Material auch von jenen in der verwendeten metallischen Diffusionsbarriere 104 verschieden sein.
  • Wird der "unterstützende" Prozessschritt geeignet gewählt, so liegt das zusätzlich aufgebrachte Tantal bereits gleich ver teilt an der gewünschten Stelle, nämlich unmittelbar an der Kupfer/Siliziumnitrid-Grenzfläche vor und der zusätzlich benötigte Aktivierungsschritt kann bei einem Final-Temperschritt bei z.B. 430°C für 30 Minuten durchgeführt werden. In bestimmten Fällen ist dadurch für den langen Temperaturschritt keine zusätzliche Temperzeit erforderlich.
  • Die 9 bis 11 betreffen Ausführungsbeispiele, bei denen primär Barrierematerial vom Boden einer Vialeitstruktur bzw. zwischen zwei Leitstrukturen entfernt werden soll. Eine Kombination mit Verfahren, bei denen Tantal durch Grenzflächendiffusion aufgebracht wird, ist möglich und wird auch im Folgenden wiederholt angesprochen. Durch die an Hand der 9 bis 11 erläuterten Ausführungsbeispiele verringert sich der ohmsche Viawiderstand erheblich und die Stromtragfähigkeit des Vias steigt. In allen drei Ausführungsbeispielen wird zunächst eine ganzflächige Abscheidung einer elektrisch leitfähigen Diffusions-Barriereschicht durchgeführt. Zur Entfernung der Barriereschicht im Bereich des Via-/Bahn-Übergangs wird ein überraschend milder Temperprozess genutzt, bei dem das Barrierematerial in Kupfer oder längs von Grenzflächen, z.B. Kupfer/Tantal oder Kupfer/Siliziumnitrid, diffundiert.
  • Bei den Ausführungsbeispielen, bei denen Tempertemperaturen zwischen 400 und 500°C benutzt worden sind, wurden folgende Bedingungen eingehalten:
    • – Die Barriere bestand aus einer Doppelschicht Tantalnitrid/Tantal,
    • – die TaNX-Komponente war unterstöchiometrisch, d.h. X < 1 bzw. X < 0,75,
    • – das Tantalmetall der Barriere lag zumindest teilweise als α-Tantal vor, d.h. als kubisch raumzentriertes Gitter,
    • – an der Kupfer/Tantal-Grenzfläche, d.h. an der Seitenwand und am Boden der Leitstrukturen, bildet sich eine amorphe, stark tantalreiche Zone, in der und aus der Tantal bei überraschend milden thermischen Aktivierungen diffundiert, und
    • – keine Einschränkungen gibt es hier bei der Auswahl des Barrierematerials. Es kann, muss aber nicht, im in Frage kommenden Temperaturbereich beim Tempern Kupferlegierungen bilden oder kann eine endliche Löslichkeit in Kupfer aufweisen. Somit sind die Verfahren nicht nur auf Tantalbarrieren beschränkt.
  • Die Ausführungsbeispiele der 9 und 10 betreffen Dual-Damascene-Architekturen. Die angesprochenen Verfahren sind aber auch bei Einfach-Damascene-Architekturen oder wie in 11 dargestellt, auch bei Leitbahnen nutzbar, die durch subtraktive Verfahren erzeugt worden sind, d.h. durch Lift-Off-Verfahren, durch Pattern-Plating oder durch Kupfer-RIE. Der Temperschritt zum Entfernen des Barrierematerials lässt sich in oder nach unterschiedlichen Prozessstadien durchführen, siehe die oben für die 1 bis 8B erläuterten Möglichkeiten.
  • Es ergeben sich folgende Vorteile:
    • – Sichere, reproduzierbare und risikoarme Verfahrensweise, wobei der Viawiderstand verringert wird und sich die Elektromigrationsfestigkeit erhöht,
    • – es werden kostengünstige thermische Prozesse genutzt, die in der Regel ohne Investitionsbedarf bzw. ohne hohen Investitionsbedarf durchführbar sind,
    • – es werden kostengünstige Batchprozesse genutzt, und
    • – zusätzliche positive Auswirkungen treten an allen Schichten und Grenzflächen durch die lange Temperung auf.
  • Die thermische Aktivierung wird bei Temperaturen ≥ 350° bis 550°C für unterschiedlich lange Zeiten durchgeführt, die im Wesentlichen von der Dicke der Barriereschicht abhängen. Die oben genannten Verfahren zum selektiven Erhitzen der metallischen Strukturen werden auch bei den Temperverfahren zum Entfernen von Barrierematerial angewendet.
  • 9 zeigt eine Schaltungsanordnung 500 mit einem Substrat 501. Nach der Herstellung von integrierten Halbleiterbauelementen in dem Substrat 501 wurde eine Isolierlage 502 erzeugt, die Isoliermaterial 504 enthält, beispielsweise Siliziumdioxid. In der Isolierlage 502 wurde mit Hilfe eines Dual-Damascene-Verfahrens eine Leitbahn 506 aus Kupfer erzeugt. Anschließend wurde eine dielektrische Barriereschicht 508 abgeschieden, beispielsweise eine Siliziumnitridschicht in einer Schichtdicke von 50 nm. Anschließend werden eine Vialage 510 und eine Leitbahnlage 520 mit Hilfe eines Dual-Damascene-Verfahrens hergestellt. In einem Isoliermaterial 512 der Vialage 510 wird eine Aussparung 514 eingebracht. In ein Isoliermaterial 516 der Leitbahnlage 520 wird eine Aussparung 522 für eine Leitbahn 560 eingebracht. Zwischen der Vialage 510 und der Leitbahnlage 520 wird gegebenenfalls eine Ätzstoppschicht 518 angeordnet, z.B. eine Siliziumnitridschicht.
  • 9 zeigt eine Herstellungsstufe, bei der die Aussparungen 514 und 522 noch nicht mit Kupfer gefüllt sind. Jedoch wurde schon ganzflächig eine Barriereschicht 530 abgeschieden, beispielsweise eine Tantalschicht mit einer Schichtdicke von 20 nm. Am Boden der Aussparung 514 hat sich dabei eine Barrierematerialschicht mit einer Schichtdicke von 10 nm abgelagert.
  • Nach dem Ablagern der Barriereschicht 530 und vor dem Auffüllen der Aussparung 514 und 522 mit Kupfer wird ein langer Temperschritt durchgeführt, bei dem das Barrierematerial am Boden der Aussparung 514 in die Kupferleitbahn 506 entlang von Korngrenzen diffundiert. Außerdem tritt eine Grenzflächendiffusion des am Boden der Aussparung 514 angeordneten Barrierematerials entlang der Grenzfläche der Leitbahn 506 zu der dielektrischen Barriereschicht 508 auf. Im Ausführungsbeispiel wird die thermische Aktivierung in einem Ofen durchgeführt. Deshalb wird in einer Wasserstoffatmosphäre getem pert, wobei Stickstoff zugesetzt wird. Der Wasserstoffanteil hat eine reduzierende Wirkung und verhindert eine Oxidation des freigelegten Kupfers der Leitbahn 506. Der Stickstoffanteil dient einer Verdichtung der nicht beim Tempern entfernten Barriereschichten. Beispielsweise werden Formiergasgemische beim Tempern verwendet. Die Abkühlung wurde rasch durchgeführt, d.h. mit einer Abkühlungsrate größer als 50 Kelvin/Minute, um in Kupferkorngrenzen der Leitbahn 506 sitzendes Tantal dort "einzufrieren". Am Boden der Aussparung 514 war die Tantalbarriere typischerweise kleiner als 15 nm. Deswegen erfolgt die Auflösung der Tantalbarriere am Boden der Aussparung 514 vergleichsweise schnell. Die Tantal/Siliziumdioxidgrenzfläche ist bis zu 600°C stabil. Somit erfolgt an der Tantal/Siliziumdioxidgrenzfläche keinerlei Reaktion oder Diffusion. Es resultiert ein barrierefreier Via/Leitbahn-Übergang.
  • Außerdem gelten für das Ausführungsbeispiel der 9 folgende weiteren positiven Aspekte:
    • – Durch die Verwendung von Stickstoff im Tempergas wird die Tantalbarriere bzw. die Tantalnitridbarriere an den Tantal/Siliziumdioxidgrenzflächen, insbesondere an den oberen Tantal/Siliziumdioxidgrenzflächen verdichtet. Dies ist besonders wichtig an Siliziumnitrid-Hinterschneidungskanten, die typischerweise Schwachstellen im Via oder am Boden der Leitbahn darstellen.
    • – Der Barriereabscheideprozess kann bewusst nicht konform eingestellt werden, z.B. mit bewusst geringer Tantal-Bedeckung am Viaboden, wodurch vergleichsweise kurze Temperzeiten erforderlich sind, und
    • – es sind kostengünstige Batch-Prozesse möglich, bei denen mehrere Wafer, beispielsweise mehr als 80 Wafer gleichzeitig getempert werden, so dass auch bei Temperzeiten von einer Stunde oder mehr ein hoher Durchsatz möglich ist.
  • Die 10 zeigt eine Schaltungsanordnung 500b, die wie die Schaltungsanordnung 500 aufgebaut ist, so dass gleiche Elemente mit den gleichen Bezugszeichen, jedoch mit dem nachgestellten Kleinbuchstaben b gekennzeichnet sind. Bei der Herstellung der Schaltungsanordnung 500b wird im Unterschied zur Herstellung der Schaltungsanordnung 500 jedoch erst dann der längere Tempervorgang zum Entfernen des Barrierematerials 530b am Boden der Aussparung 514b bzw. der Vialeitstruktur 550b durchgeführt, wenn das Kupfermaterial für die Vialeitstruktur 550b und für die Leitbahn 560b abgeschieden ist. Außerdem wurde im Ausführungsbeispiel das Kupfermaterial bereits planarisiert und es wurde eine dielektrische Barriereschicht 750, z.B. eine Siliziumnitridschicht, abgeschieden.
  • Bei dem Ausführungsbeispiel gemäß 10 diffundiert Barrierematerial zwischen der Vialeitstruktur 550b und der Leitbahn 506b während des langen Temperns sowohl entlang von Korngrenzen in die Leitbahn 506b als auch in die Vialeitstruktur 550b. Außerdem tritt wieder eine Grenzflächendiffusion entlang der Grenzfläche Kupfer/Siliziumnitridschicht 508b auf.
  • Optional wird die Barriereschicht 530b nicht konform und dicker als benötigt abgeschieden. Ebenfalls optional ist ein partieller Re-Sputter-Schritt, der die Barriere auf den horizontalen Dielektrikumsflächen und am Viaboden dünnt und sie gleichzeitig an der unteren Viaseitenwand verdickt.
  • Bei dem Ausführungsbeispiel gemäß 10 ergeben sich die folgenden technischen Wirkungen:
    • – Verwendung von Stickstoff im Tempergas bewirkt eine Verdichtung der Siliziumnitrid-Cap-Schicht 570 an den Kupfer/Siliziumnitrid-Grenzflächen und damit eine Stabilisierung und Qualitätsverbesserung dieser Grenzfläche,
    • – die Tantal/Siliziumdioxid-Grenzfläche ist bis 600°C stabil, hier erfolgt keinerlei Reaktion oder Diffusion,
    • – diese Vorgehensweise bewirkt gleichzeitig eine Verbesserung des Kupfer/Siliziumnitrid-Interfaces durch Tantal-Grenzflächendiffusion in den verschiedenen Ebenen, wie oben an Hand der 1 bis 8B erläutert,
    • – diese Variante wird besonders nach kompletter Herstellung aller Leitbahnebenen benutzt und muss dann nur einmal ausgeführt werden, und
    • – wird die Metallisierung nicht in Dual-Damascene-Technik, sondern in Einfach-Damascene-Technik hergestellt, lässt sich das Verfahren gemäß diesem Ausführungsbeispiel ebenfalls einsetzen. Die Barriere baut sich dann an den Übergängen Via(n)/Leitbahn(n) bzw. Via(n)/Leitbahn(n+1) besonders schnell ab, weil die Korngrenzendiffusion dort in mehreren Richtungen möglich ist. Somit werden beide Grenzflächen, die die Einfach-Damascene-Technik bietet, abgebaut. Es resultieren barrierefreie Via/Bahn-Übergänge.
  • 11 zeigt eine Schaltungsanordnung 600, die ein Substrat 601 mit einer Vielzahl von Halbleiterbauelementen enthält. Die Schaltungsanordnung 600 enthält außerdem eine Isolierlage 602, die ein dielektrisches Material 604 erzeugt, in dem eine Kupferleitbahn 606 angeordnet ist. Nach dem Herstellen der Kupferleitbahn 606 mit einem Einfach-Damascene- oder mit Hilfe eines Dual-Damascene-Verfahrens wurde eine dielektrische Barriereschicht 608 abgeschieden, beispielsweise eine Siliziumnitridschicht. Anschließend wurde für eine Vialage 610 Isoliermaterial 612 abgeschieden. Mit Hilfe eines Einfach-Damascene-Verfahrens wurde eine Vialeitstruktur 650 erzeugt, die an den Seitenwänden und am Boden an eine Barriereschicht 630 grenzt, beispielsweise an eine Tantalbarriereschicht mit einer Schichtdicke von 20 nm im oberen Bereich der Vialeitstruktur 650. Nach einem CMP-Schritt wurde eine dielektrische Barriere 670 abgeschieden, beispielsweise eine Siliziumnitridschicht mit einer Schichtdicke von 50 nm. Danach wurde eine Barriereschicht 680 abgeschieden, beispielsweise eine Tantal-Barriereschicht mit einer Schichtdicke von 20 nm. Mit Hilfe eines "subtraktiven" Verfahrens wurde anschließend eine Leitbahn 690 erzeugt und mit einer Siliziumnitridschicht 700 an ihrer Deckfläche und an ihren Seitenflächen bedeckt. Optional ist zwischen der Siliziumnitridschicht 700 und der Leitbahn 690 eine Barriereschicht aus Tantal angeordnet, siehe die Erläuterungen zu den 8A und 8B.
  • Nach dem Erzeugen der Leitbahn 690 wird ein langer Temperschritt durchgeführt, bei dem das Barrierematerial 630 zwischen der Vialeitstruktur 650 und der Leitbahn 606 entfernt wird. Außerdem wird bei diesem langen Temperschritt Material der Barriereschicht 680 zwischen der Vialeitstruktur 650 und der Leitbahn 690 entfernt. Der Großteil des Barrierematerials diffundiert entlang von Korngrenzen der Leitbahn 606, der Vialeitstruktur 650 bzw. der Leitbahn 690. Jedoch werden insbesondere Randbereiche des zu entfernenden Barrierematerials auch durch Grenzflächendiffusion entlang der Kupfer/Siliziumnitrid-Grenzfläche der Leitbahn 606 bzw. der Barriereschicht/Siliziumnitrid-Grenzfläche der Barriereschicht 680 transportiert.
  • Bei dem Ausführungsbeispiel gemäß 11 ergeben sich die gleichen Vorteile, die oben an Hand der 9 und 10 erläutert worden sind. Bei einem weiteren Ausführungsbeispiel wird die diffusionsbedingte Entfernung des Barrierematerials von Tantal/Kupfer-Kontaktflächen mit den an Hand der 1 bis 8B erläuterten diffusionsbedingten Verbesserungen der Kupfer/Siliziumnitrid-Grenzfläche kombiniert. Dadurch lassen sich die erforderlichen thermischen Aktivierungsschritte mit vertretbarem Temperaturbudget auch für empfindliche BEOL-Prozesse (Back End Of Line) durchführen.
  • Um die beschriebenen positiven Effekte zu erreichen, ist es nicht zwingend erforderlich, die Barriere am Viaboden bzw. an der Viadeckfläche vollständig zu entfernen. Es genügt bereits eine partielle Entfernung, z.B. lokale Aufrisse, wenn dadurch ein partieller direkter Kupfer/Kupfer-Kontakt erreicht wird und dann bei Einsetzen der Elektromigration ein weitgehend unbehinderter Kupfer-Materialfluss erfolgen kann. Das benötigte thermische Budget zur weitestgehenden Entfernung der Barriere am Viaboden bzw. an der Viadeckfläche wird durch die Schichtdicke der Barriere an diesen Orten gesteuert. Eine Kombination der erläuterten Verfahren mit Re-Sputter-Schritten wird bei weiteren Ausführungsbeispielen durchgeführt. Durch das Re-Sputtern muss jedoch die Barriere am Viaboden nicht komplett entfernt, sondern nur gedünnt werden. Damit verbleibt die Barriereschicht auch an anderen horizontalen Stellen, z.B. am Boden einer Leitbahn. Durch das Re-Sputtern wird das am Viaboden abgetragene Material im unteren Bereich der Viaseitenwände deponiert, so dass dort die Schichtdicke erhöht wird. Dadurch wird verhindert, dass sich im unteren Bereich der Viaseitenwände die Barriere "auflöst" und hier ihre erforderliche Schutzwirkung verliert oder dass sie an anderen horizontalen Stellen (z.B. Boden der Leitbahn) durch einen zu langen Resputter-Schritt entfernt wird.
  • Es wurde eine REM-Aufnahme einer Cu-MLM (Mehrlagenmetallisierung) nach einer Temperung bei 450°C für zehn Stunden untersucht. Bei einem Viadurchmesser am Viaboden von 500 nm war nach dieser Temperzeit eine zuvor vorhandene Barriere nicht mehr zu erkennen.
  • Dem Entfernen von Barrierematerial und der Beschichtung mit Barrierematerial durch langes Tempern sind in den Ausführungsbeispielen u.a. gemeinsam:
    • – anfängliches Amorphisieren der zunächst kristallinen Ursprungs-Barriere,
    • – und vor allen Dingen die Selbstjustierung der Verfahren, die keine Lithografieverfahren benötigt und prozesstechnisch sehr sicher ist.
  • Die Dicke der amorphen Zone ist insbesondere kleiner als 10 Nanometer. Außerdem besteht die amorphe Zone zu mindestens 80 Atomprozent aus Barrierematerial. Die amorphe Zone ist bspw. zwischen einer kristallinen Barriere und der kristallinen Cu-Leitstruktur angeordnet. Bei einem anderen Ausführungsbeispiel wurde die amorphe Barriere abgeschieden.
  • Bei einem anderen Ausführungsbeispiel werden für das diffundierte Barrierenmaterial, insbesondere für das grenzflächendiffundierte Barrierematerial, auch elektrisch nicht leitfähige, d.h. dielektrische Barrieren, verwendet, z.B. Ta2O5, Al2O3, HfO2 oder einer siliziumhaltigen Verbindung. Temperzeiten für Grenzflächendiffusionsvorgänge werden nach der folgenden Formel berechnet:
    t(min) = 1(min)2/D
    t(min) = minimale Temperzeit, D = Do·exp(Ea/kT); 1(min) = ½ minimale Bahnbreite für Grenzflächendiffusion, und den abgeschätzten Konstanten: D=6·10^–17·cm^2/s; Ea = 1,68 eV für Grenzflächen-Diffusion.
  • Die gleiche Formel lässt sich auch für die Berechung der Temperzeit für das Entfernen von Barrierematerial nutzen, wobei Ea = 1,82 eV für Korngrenzendiffusion ist und die Temperzeit auch von der Anzahl der an die zu entfernende Barriere angrenzenden Korngrenzen abhängig ist.
  • 100 bis 100h
    Integrierte Schaltungsanordnung
    102 bis 102h
    Isolierlage
    104 bis 104h
    Barriereschicht
    106 bis 106h
    Vialeitstruktur
    108
    Dielektrische Barriereschicht
    110
    Grenzflächendiffusion
    112
    Korngrenzendiffusion
    200
    Hilfsschicht
    204
    Öffnung
    A1
    Abstand
    250
    Tantalschicht
    252
    Barrierematerial
    300
    Barriereschicht
    350
    Barriereschicht
    352
    Resistbereich
    400
    Barriereschicht
    402
    Keimbildungsschicht
    404, 405
    Resistbereich
    450
    Barriereschicht
    452
    Dielektrische Barriereschicht
    500, 500b
    Schaltungsanordnung
    501, 501b
    Substrat
    502, 502b
    Metallisierungslage
    504, 504b
    Dielektrikum
    506, 506b
    Leitbahn
    508, 508b
    Dielektrische Barriereschicht
    510, 510b
    Vialage
    512, 512b
    Dielektrikum
    514, 514b
    Aussparung
    516, 516b
    Dielektrikum
    518, 518b
    Dielektrische Barriereschicht
    520, 520b
    Leitbahnlage
    522, 522b
    Aussparung
    530, 530b
    Barriereschicht
    550, 550b
    Vialeitstruktur
    560, 560b
    Leitbahnleitstruktur
    570
    Dielektrische Barriereschicht
    600
    Schaltungsanordnung
    601
    Substrat
    602
    Leitbahnlage
    604
    Dielektrikum
    606
    Leitbahn
    608
    Dielektrische Barriereschicht
    610
    Vialage
    612
    Dielektrikum
    630
    Barriereschicht
    650
    Vialeitstruktur
    670
    Dielektrische Barriereschicht
    680
    Barriereschicht
    690
    Leitbahn
    700
    Dielektrische Barriereschicht

Claims (23)

  1. Integrierte Schaltungsanordnung (500, 500b, 600), mit einer elektrisch leitfähigen Leitstruktur (506, 550), die gemäß einer Kornstruktur strukturiert ist, dadurch gekennzeichnet, dass elektrisch leitfähiges Barrierematerial in einem Korngrenzenbereich der Leitstruktur (506) angeordnet ist, der mindestens 5 Nanometer oder der mindestens 10 Nanometer im Innern der Leitstruktur angeordnet ist.
  2. Schaltungsanordnung (500, 500b) nach Anspruch 1, dadurch gekennzeichnet, dass die Schaltungsanordnung (500) ein Substrat (501) enthält, das eine Vielzahl von Halbleiterbauelementen enthält, dass die Leitstruktur eine Leitbahn (506) ist, die zwischen dem Substrat (501) und einer Vialeitstruktur (550) angrenzend an die Vialeitstruktur (550) angeordnet ist, dass eine Seitenwand der Vialeitstruktur (550, 550b) an eine Barrierematerialschicht grenzt und dass zwischen der Vialeitstruktur und der Leitbahn (506, 506b) keine Barrierematerialschicht oder keine durchgehende Barrierematerialschicht angeordnet ist, insbesondere keine Barrierematerialschicht mit einer Dicke größer als 1 Nanometer.
  3. Schaltungsanordnung nach Anspruch 2, dadurch gekennzeichnet, dass die Vialeitstruktur an eine substratferne Leitbahn (560) grenzt, deren Bodenfläche an eine Barrierematerialschicht grenzt.
  4. Schaltungsanordnung (600) nach Anspruch 1, dadurch gekennzeichnet, dass die Schaltungsanordnung (600) ein Substrat (601) enthält, das eine Vielzahl von Halbleiterbauelementen enthält, dass die Leitstruktur eine Vialeitstruktur (650) ist, die zwischen dem Substrat (601) und einer Leitbahn (690) angrenzend an die Leitbahn (690) angeordnet ist, dass eine Bodenfläche der Leitbahn (690) an eine Barrierematerialschicht grenzt und dass zwischen der Vialeitstruktur und der Leitbahn (690) keine Barrierematerialschicht oder keine durchgehende Barrierematerialschicht angeordnet ist, insbesondere keine Barrierematerialschicht mit einer Dicke größer als 1 Nanometer.
  5. Schaltungsanordnung (600) nach Anspruch 4, dadurch gekennzeichnet, dass die Leitbahn (690) mindestens eines der folgenden Merkmale erfüllt: die Breite der Leitbahn (690) ist größer als 20 Mikrometer, die Dicke der Leitbahn ist größer als 3 Mikrometer.
  6. Schaltungsanordnung (500, 500b, 600) nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass sich Barrierematerial kontinuierlich entlang einer Strecke größer als 5 Nanometer oder größer als 10 Nanometer in dem Korngrenzenbereich erstreckt.
  7. Schaltungsanordnung (500, 500b, 600) nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet dass, eine amorphe elektrisch leitfähige oder elektrisch isolierende Barrierematerialschicht angrenzend an die Leitstruktur (506, 550, 560, 650) angeordnet ist.
  8. Integrierte Schaltungsanordnung (100), mit einer elektrisch leitfähigen Leitstruktur (106), gekennzeichnet durch ein amorphes Barrierematerial, die an der Leitstruktur (106) angeordnet ist.
  9. Schaltungsanordnung (100) nach Anspruch 8, dadurch gekennzeichnet, dass an einer substratfernen Deckfläche der Leitstruktur (106) Barrierematerial ohne Überstand über ein sich unmittelbar seitlich der Leitstruktur befindendes Dielektrikum angeordnet ist, oder dass entweder die Schaltungsanordnung eine polykristalline elektrisch leitfähige oder eine mikrokristalline elekt risch isolierende Barrierematerialschicht (104) enthält, die angrenzend an das amorphe Barrierematerial angeordnet ist, oder dass das amorphe Barrierematerial eine homogene Materialzusammensetzung hat und zwischen einem Dielektrikum und der Leitbahn angrenzend an das Dielektrikum angeordnet ist.
  10. Schaltungsanordnung (100) nach Anspruch 8 oder 9, dadurch gekennzeichnet, dass die Leitstruktur eine Leitbahn (106) ist, und dass die Leitbahn (106) abgesehen von Grenzen zu anderen Leitstrukturen an außenliegenden Korngrenzen vollständig mit einem elektrisch leitfähigen Barrierematerial (104, 110) umgeben ist, wobei vorzugsweise barrierematerialfreie Bereiche abgesehen von Grenzen zu anderen Leitstrukturen an Außenflächen der Leitbahn vorhanden sind.
  11. Schaltungsanordnung (100) nach Anspruch 10, dadurch gekennzeichnet, dass an mindestens einer Seitenfläche der Leitbahn (106) eine Barriereschicht (104) mit einer Schichtdicke größer 2 Nanometer oder größer 5 Nanometer angeordnet ist und dass an mindestens einer Deckfläche oder einer Bodenfläche der Leitbahn (106) eine Barriereschicht (110) mit einer Schichtdicke kleiner als 1 Nanometer angeordnet ist.
  12. Schaltungsanordnung (100 500) nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass die Leitstruktur (106, 506) aus Kupfer oder aus einer Kupferlegierung mit mindestens 90 Atomprozent Kupfer besteht, oder dass die Leitstruktur (106, 506) aus Gold oder aus einer Goldlegierung mit mindestens 90 Atomprozent Gold besteht.
  13. Schaltungsanordnung (100 500) nach einem der vorhergehenden Ansprüche, dadurch gekennzeichnet, dass das Barrierematerial elektrisch leitfähige ist oder dass das Barrierematerial bei Rückbeziehung auf Anspruch 8 ein dielektrisches Barrierematerial ist, vorzugsweise Tantal, Tantalnitrid, Titan, Ti tannitrid, Wolfram, Wolframnitrid, Titanwolfram, Tantaloxid, Aluminiumoxid oder Hafniumoxid ist, oder dass das Barrierematerial aus einem Material besteht oder ein Material enthält, das mit dem Leitbahnmaterial keine Legierung bildet und das nicht oder nur geringfügig in dem Leitbahnmaterial lösbar ist, oder dass das Barrierematerial bei Rückbeziehung auf einen der Ansprüche 1 bis 7 aus einem Material besteht oder ein Material enthält, das mit dem Leitbahnmaterial Legierungen bildet oder das darin löslich ist.
  14. Verfahren zum Herstellen einer integrierten Schaltungsanordnung (500, 500b, 600), bei dem einmal oder mehrmals getempert wird, dadurch gekennzeichnet, dass durch das Tempern einer Barrierematerialschicht (530) zwischen einer Vialeitstruktur (550) und einer Leitbahn (506) entfernt, durchbrochen oder um mindestens 50 Prozent oder um mindestens 90 Prozent gedünnt wird.
  15. Verfahren nach Anspruch 14, dadurch gekennzeichnet, dass das Barrierematerial (530) auf mindestens eine der folgenden Arten entfernt wird: durch Diffusion entlang von Korngrenzen einer Leitstruktur (506), durch Grenzflächendiffusion entlang einer Grenzfläche zwischen zwei verschiedenen Materialien (506, 508).
  16. Verfahren nach Anspruch 14 oder 15, dadurch gekennzeichnet, dass das zu entfernende Barrierematerial in einer Dicke bis zu 2 Nanometer vorliegt und dass in Summe mehr als 1,5 Stunde getempert wird, oder dass das zu entfernende Barrierematerial in einer Dicke im Bereich von 2 Nanometern bis 5 Nanometer vorliegt und dass in Summe mehr als 3 Stunden getempert wird, wobei die Temperatur beim Tempern 430 Grad Celsius beträgt.
  17. Verfahren nach einem der Ansprüche 14 bis 16, dadurch gekennzeichnet dass während des Temperns zu entfernendes Barrierematerial entlang von Korngrenzen um mindestens 5 Nanometer oder um mindestens 10 Nanometer transportiert wird.
  18. Verfahren zum Herstellen einer integrierten Schaltungsanordnung (100), bei dem einmal oder mehrmals getempert wird, dadurch gekennzeichnet, dass Sekundär-Barrierematerial (110) aus Ursprungs-Barrierematerial durch Grenzflächendiffusion entlang der Grenzfläche einer Leitstruktur (106) transportiert wird.
  19. Verfahren nach Anspruch 18, dadurch gekennzeichnet, dass das Sekundär-Barrierematerial (110) aus einer Ursprungs-Barriereschicht (104) ausdiffundiert, die an einer Seitenfläche der Leitstruktur (106) oder die an einer Grenze (530) zu einer Vialeitstruktur angeordnet ist oder die Teilbereiche der Fläche der Leitstruktur bedeckt, an der die Grenzflächendiffusion auftritt.
  20. Verfahren nach Anspruch 19, dadurch gekennzeichnet, dass die Ursprungsbarriereschicht eine amorphe Schicht ist.
  21. Verfahren nach einem der Ansprüche 18 bis 20, dadurch gekennzeichnet, dass durch zuvor durchgeführtes Tempern Ursprungs-Barrierematerial (200) aus dem Innern der Leitbahn (106d) an die Außenfläche der Leitbahn (106d) transportiert wird, oder dass durch zuvor durchgeführtes Tempern Ursprungs-Barrierematerial (250, 300) aus einem elektrisch leitfähigen Hilfsbereich in einen Bereich der Leitbahn (106e, 106f) transportiert wird, in dem nach dem Entfernen des Hilfsbereiches die Außenfläche der Leitbahn (106e, 106f) liegt.
  22. Verfahren nach einem der Ansprüche 18 bis 21, dadurch gekennzeichnet, dass die Transportstrecke des Barrieremateri als während des Temperns mit Grenzflächendiffusion größer als 10 Nanometer oder größer als 20 Nanometer ist.
  23. Verfahren nach einem der Ansprüche 18 bis 22, dadurch gekennzeichnet, dass die Leitstruktur mindestens für eine Zeit getempert wird, die sich aus der folgenden Formel ergibt. t = l2/D mit D = Do·exp(Ea/kT); 1 = die Hälfte der minimalen Bahnbreite in der Schaltungsanordnung; D=6·10^-17·cm^2/s; Ea = 1,68 eV für Grenzflächendiffusion, k = Boltzmannkonstante, T = Temperatur, wobei die Temperatur T beim Tempern dabei größer als 420 Grad Celsius und kleiner als 510 Grad Celsius ist.
DE102004021239.2A 2004-04-30 2004-04-30 Lange getemperte integrierte Schaltungsanordnungen und deren Herstellungsverfahren Expired - Fee Related DE102004021239B4 (de)

Priority Applications (7)

Application Number Priority Date Filing Date Title
DE102004021239.2A DE102004021239B4 (de) 2004-04-30 2004-04-30 Lange getemperte integrierte Schaltungsanordnungen und deren Herstellungsverfahren
CN2009100078857A CN101626012B (zh) 2004-04-30 2005-04-22 长时间退火的集成电路装置及其制造方法
PCT/EP2005/051808 WO2005106946A1 (de) 2004-04-30 2005-04-22 Lange getemperte integrierte schaltungsanordnungen und deren herstellungsverfahren
CN2005800136335A CN101040375B (zh) 2004-04-30 2005-04-22 长时间退火的集成电路装置及其制造方法
CN201210069965.7A CN102623394B (zh) 2004-04-30 2005-04-22 长时间退火的集成电路装置及其制造方法
US11/589,349 US8643183B2 (en) 2004-04-30 2006-10-30 Long-term heat-treated integrated circuit arrangements and methods for producing the same
US14/138,241 US9543199B2 (en) 2004-04-30 2013-12-23 Long-term heat treated integrated circuit arrangements and methods for producing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
DE102004021239.2A DE102004021239B4 (de) 2004-04-30 2004-04-30 Lange getemperte integrierte Schaltungsanordnungen und deren Herstellungsverfahren

Publications (2)

Publication Number Publication Date
DE102004021239A1 true DE102004021239A1 (de) 2005-11-17
DE102004021239B4 DE102004021239B4 (de) 2017-04-06

Family

ID=34964147

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102004021239.2A Expired - Fee Related DE102004021239B4 (de) 2004-04-30 2004-04-30 Lange getemperte integrierte Schaltungsanordnungen und deren Herstellungsverfahren

Country Status (4)

Country Link
US (2) US8643183B2 (de)
CN (3) CN101040375B (de)
DE (1) DE102004021239B4 (de)
WO (1) WO2005106946A1 (de)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010063299A1 (de) * 2010-12-16 2012-06-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Leistungssteigerung in Metallisierungsystemen mit Mikrostrukturbauelementen durch Einbau einer Barrierenzwischenschicht

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100652317B1 (ko) * 2005-08-11 2006-11-29 동부일렉트로닉스 주식회사 반도체 소자의 금속 패드 제조 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004030089A1 (en) * 2002-09-26 2004-04-08 Advanced Micro Devices, Inc. Method of forming a copper interconnect with concentrated alloy atoms at copper-passivation interface

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2839579B2 (ja) * 1989-10-02 1998-12-16 株式会社東芝 半導体装置及びその製造方法
US6081034A (en) * 1992-06-12 2000-06-27 Micron Technology, Inc. Low-resistance contact to silicon having a titanium silicide interface and an amorphous titanium carbonitride barrier layer
US6285082B1 (en) * 1995-01-03 2001-09-04 International Business Machines Corporation Soft metal conductor
US5766379A (en) * 1995-06-07 1998-06-16 The Research Foundation Of State University Of New York Passivated copper conductive layers for microelectronic applications and methods of manufacturing same
US5714418A (en) * 1995-11-08 1998-02-03 Intel Corporation Diffusion barrier for electrical interconnects in an integrated circuit
US6037257A (en) * 1997-05-08 2000-03-14 Applied Materials, Inc. Sputter deposition and annealing of copper alloy metallization
SG70654A1 (en) * 1997-09-30 2000-02-22 Ibm Copper stud structure with refractory metal liner
US6870263B1 (en) * 1998-03-31 2005-03-22 Infineon Technologies Ag Device interconnection
JP3149846B2 (ja) * 1998-04-17 2001-03-26 日本電気株式会社 半導体装置及びその製造方法
US6306732B1 (en) * 1998-10-09 2001-10-23 Advanced Micro Devices, Inc. Method and apparatus for simultaneously improving the electromigration reliability and resistance of damascene vias using a controlled diffusivity barrier
US7338908B1 (en) * 2003-10-20 2008-03-04 Novellus Systems, Inc. Method for fabrication of semiconductor interconnect structure with reduced capacitance, leakage current, and improved breakdown voltage
US6339258B1 (en) * 1999-07-02 2002-01-15 International Business Machines Corporation Low resistivity tantalum
US6465376B2 (en) * 1999-08-18 2002-10-15 International Business Machines Corporation Method and structure for improving electromigration of chip interconnects
JP3979791B2 (ja) * 2000-03-08 2007-09-19 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6228759B1 (en) * 2000-05-02 2001-05-08 Advanced Micro Devices, Inc. Method of forming an alloy precipitate to surround interconnect to minimize electromigration
US6309959B1 (en) * 2000-08-03 2001-10-30 Advanced Micro Devices, Inc. Formation of self-aligned passivation for interconnect to minimize electromigration
US6358840B1 (en) * 2000-09-06 2002-03-19 Advanced Micro Devices, Inc. Forming and filling a recess in interconnect with alloy to minimize electromigration
US6380084B1 (en) * 2000-10-02 2002-04-30 Chartered Semiconductor Manufacturing Inc. Method to form high performance copper damascene interconnects by de-coupling via and metal line filling
DE10054038B4 (de) 2000-10-31 2006-11-30 Infineon Technologies Ag Verfahren zum Trennen eines plattenförmigen Körpers, insbesondere eines Halbleiterwafers, in Einzelstücke
US6498397B1 (en) * 2000-11-06 2002-12-24 Advanced Micro Devices, Inc. Seed layer with annealed region for integrated circuit interconnects
CN1329972C (zh) * 2001-08-13 2007-08-01 株式会社荏原制作所 半导体器件及其制造方法
US20030217462A1 (en) * 2001-12-13 2003-11-27 Fei Wang Method for improving electromigration performance of metallization features through multiple depositions of binary alloys
JP4063619B2 (ja) * 2002-03-13 2008-03-19 Necエレクトロニクス株式会社 半導体装置の製造方法
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
AU2003266560A1 (en) * 2002-12-09 2004-06-30 Yoshihiro Hayashi Copper alloy for wiring, semiconductor device, method for forming wiring and method for manufacturing semiconductor device
DE10301245A1 (de) 2003-01-15 2004-07-29 Infineon Technologies Ag Verfahren zum Bearbeiten eines Werkstücks an einem Werkstückträger
US7026714B2 (en) * 2003-03-18 2006-04-11 Cunningham James A Copper interconnect systems which use conductive, metal-based cap layers
JP4571781B2 (ja) * 2003-03-26 2010-10-27 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP2004319834A (ja) * 2003-04-17 2004-11-11 Renesas Technology Corp 半導体装置およびその製造方法
DE10339990B8 (de) * 2003-08-29 2013-01-31 Advanced Micro Devices, Inc. Verfahren zur Herstellung einer Metallleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung
US7081647B2 (en) * 2003-09-29 2006-07-25 Matsushita Electric Industrial Co., Ltd. Microelectromechanical system and method for fabricating the same
US20050070097A1 (en) * 2003-09-29 2005-03-31 International Business Machines Corporation Atomic laminates for diffusion barrier applications
JP2005136335A (ja) * 2003-10-31 2005-05-26 Toshiba Corp 半導体装置およびその製造方法
US7205233B2 (en) * 2003-11-07 2007-04-17 Applied Materials, Inc. Method for forming CoWRe alloys by electroless deposition
US6992390B2 (en) * 2003-11-07 2006-01-31 International Business Machines Corp. Liner with improved electromigration redundancy for damascene interconnects
US7049234B2 (en) * 2003-12-22 2006-05-23 Intel Corporation Multiple stage electroless deposition of a metal layer
BRPI0509189A (pt) * 2004-03-24 2007-09-25 Starck H C Inc métodos para a formação de filmes de alfa e beta tántalo com microestruturas controladas
US7223695B2 (en) * 2004-09-30 2007-05-29 Intel Corporation Methods to deposit metal alloy barrier layers

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004030089A1 (en) * 2002-09-26 2004-04-08 Advanced Micro Devices, Inc. Method of forming a copper interconnect with concentrated alloy atoms at copper-passivation interface

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102010063299A1 (de) * 2010-12-16 2012-06-21 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Leistungssteigerung in Metallisierungsystemen mit Mikrostrukturbauelementen durch Einbau einer Barrierenzwischenschicht

Also Published As

Publication number Publication date
CN102623394A (zh) 2012-08-01
US9543199B2 (en) 2017-01-10
US20160049329A1 (en) 2016-02-18
CN101626012B (zh) 2012-09-05
WO2005106946A1 (de) 2005-11-10
DE102004021239B4 (de) 2017-04-06
US20070105366A1 (en) 2007-05-10
US8643183B2 (en) 2014-02-04
CN101040375B (zh) 2010-12-22
CN102623394B (zh) 2015-09-23
CN101626012A (zh) 2010-01-13
CN101040375A (zh) 2007-09-19

Similar Documents

Publication Publication Date Title
DE69837674T2 (de) Doppeldamaszen-metallisierung
DE4342047B4 (de) Halbleiterbauelement mit einer Diffusionsbarrierenschichtanordnung und Verfahren zu seiner Herstellung
DE102008007001B4 (de) Vergrößern des Widerstandsverhaltens gegenüber Elektromigration in einer Verbindungsstruktur eines Halbleiterbauelements durch Bilden einer Legierung
DE19521150B4 (de) Verdrahtungsstruktur eines Halbleiterbaulementes und Verfahren zu ihrer Herstellung
EP1724827B1 (de) Verfahren zur Herstellung einer Leitstruktur mit Barrieren-Schichtstapel und entsprechende Leitstruktur
DE102005014748B4 (de) Technik zum elektrochemischen Abscheiden einer Legierung mit chemischer Ordnung
DE102005052052B4 (de) Ätzstoppschicht für Metallisierungsschicht mit verbesserter Haftung, Ätzselektivität und Dichtigkeit und Verfahren zur Herstellung eines dielektrischen Schichtstapels
DE102005035740A1 (de) Verfahren zur Herstellung einer isolierenden Barrierenschicht für eine Kupfermetallisierungsschicht
DE102007004867A1 (de) Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
DE3311635A1 (de) Halbleiterbauelement und verfahren zu dessen herstellung
WO2005043623A2 (de) Verfahren zum ausbilden eines dielektrikums auf einer kupferhaltigen metallisierung und kondensatoranordnung
DE102005024912A1 (de) Technik zur Herstellung von kupferenthaltenden Leitungen, die in einem Dielektrikum mit kleinem ε eingebettet sind, durch Vorsehen einer Versteifungsschicht
DE102006001253A1 (de) Verfahren zur Herstellung einer Metallschicht über einem strukturierten Dielektrikum mittels einer nasschemischen Abscheidung mit einer stromlosen und einer leistungsgesteuerten Phase
DE102005034182A1 (de) Halbleitervorrichtung und Herstellungsverfahren dafür
DE102007061882B3 (de) Verfahren zur Herstellung einer integrierten Schaltung mit vergrabenem Kanal und integrierte Schaltung mit vergrabenem Kanal
DE102004003863B4 (de) Technik zur Herstellung eingebetteter Metallleitungen mit einer erhöhten Widerstandsfähigkeit gegen durch Belastung hervorgerufenen Materialtransport
DE102017118292A1 (de) Sperrschichtbildung unter Verwendung einer thermischen Bearbeitung
WO2005024913A1 (de) Integrierte schaltungsanordnung mit kondensator und herstellungsverfahren
DE102007053600B4 (de) Verfahren zur Herstellung eines Metalls direkt auf einer leitenden Barrierenschicht durch elektrochemische Abscheidung unter Anwendung einer sauerstoffarmen Umgebung
DE10339990B4 (de) Verfahren zur Herstellung einer Metallleitung mit einer erhöhten Widerstandsfähigkeit gegen Elektromigration entlang einer Grenzfläche einer dielektrischen Barrierenschicht mittels Implantieren von Material in die Metalleitung
DE102021111910A1 (de) Interconnect-struktur und deren herstellungsverfahren
DE10351005B4 (de) Barrierenschicht mit einer Titannitridbeschichtung für eine Kupfermetallisierungsschicht, die ein Dielektrikum mit kleinem ε aufweist
DE102007035837A1 (de) Halbleiterbauelement mit einer Kornorientierungsschicht
DE102004021239B4 (de) Lange getemperte integrierte Schaltungsanordnungen und deren Herstellungsverfahren
DE3888511T2 (de) Verfahren zum Herstellen von elektrischen Kontakten in integrierten Schaltungen.

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
R016 Response to examination communication
R082 Change of representative

Representative=s name: KINDERMANN, PETER, DIPL.-ING.UNIV., DE

R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division
R020 Patent grant now final
R082 Change of representative
R119 Application deemed withdrawn, or ip right lapsed, due to non-payment of renewal fee