DE10103779B4 - Herstellung von Grabenisolierungs-Bereichen in einem Halbleitersubstrat - Google Patents

Herstellung von Grabenisolierungs-Bereichen in einem Halbleitersubstrat Download PDF

Info

Publication number
DE10103779B4
DE10103779B4 DE10103779A DE10103779A DE10103779B4 DE 10103779 B4 DE10103779 B4 DE 10103779B4 DE 10103779 A DE10103779 A DE 10103779A DE 10103779 A DE10103779 A DE 10103779A DE 10103779 B4 DE10103779 B4 DE 10103779B4
Authority
DE
Germany
Prior art keywords
layer
silicon oxide
trench isolation
shallow trench
width
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE10103779A
Other languages
English (en)
Other versions
DE10103779A1 (de
Inventor
Pao Kuo Liu
Ja Rong Hsieh
Zhi-Yong Wang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Promos Technologies Inc
Original Assignee
Promos Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to US09/759,905 priority Critical patent/US6399461B1/en
Application filed by Promos Technologies Inc filed Critical Promos Technologies Inc
Priority to DE10103779A priority patent/DE10103779B4/de
Publication of DE10103779A1 publication Critical patent/DE10103779A1/de
Application granted granted Critical
Publication of DE10103779B4 publication Critical patent/DE10103779B4/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Element Separation (AREA)

Abstract

Verfahren zur Herstellung von mit Siliziumoxid gefüllten flachen Grabenisolierungs-Bereichen in einem Halbleitersubstrat, wobei eine entsorgbare, aufgeschmolzene Schicht aus Borphosphorsilikat oberhalb einer Siliziumoxidschicht verwendet wird, und die Borphosphorsilikat-Schicht dazu eingesetzt wird, die Einebnung der mit Siliziumoxid gefüllten flachen Grabenisolienungs-Bereiche zu optimieren, mit folgenden Schritten:
Ablagern einer ersten Siliziumoxidschicht (2) auf dem Halbleitersubstrat (1);
Ablagern einer Siliziumnitridschicht (3);
Ausbilden von flachen Grabenisolierungs-Formen (4) mit einer ersten Breite und von flachen Grabenisolierungs-Formen (5) mit einer zweiten Breite in der Siliziumnitridschicht (3), in der ersten Siliziumoxidschicht (2), und in einem oberen Abschnitt des Halbleitersubstrats (1);
thermisches Aufwachsen einer Siliziumoxidauskleidungsschicht (6) auf den freigelegten Oberflächen des Halbleitersubstrats (1) in den flachen Grabenisolierungs-Formen (4; 5);
Ablagern einer zweiten Siliziumoxidschicht (7) mit einem Plasma hoher Dichte auf der Siliziumnitridschicht (3), und vollständiges Füllen der flachen Grabenisolierungs-Formen (4, 5) mit der ersten Breite und der zweiten Breite;
Ablagern der Borphosphorsilikat-Schicht (8) auf...

Description

  • Die vorliegende Erfindung betrifft Verfahren zur Herstellung von mit Siliziumoxid gefüllten flachen Grabenisolierungs-Bereichen in einem Halbleitersubstrat.
  • Um die Leistung von Halbleitergeräten zu erhöhen, werden zum Zwecke der Isolierung von Geräten Bereiche mit einer Isolierung in Form flacher Gräben (STI) eingesetzt, welche als Feldoxid (FOX) ausgebildete Bereiche ersetzen. Die ungewünschte Oxidation in Querrichtung, oder die Ausbildung sogenannter Vogelschnäbel, die während der bei hoher Temperatur ablaufenden Oxidationsvorgänge auftritt, die bei der FOX-Bearbeitung eingesetzt werden, macht den Einsatz größerer Geräteabmessungen erforderlich, um den ungewünschten Vogelschnabel oder das ungewünschte Oxidwachstum in Querrichtung aufzunehmen, was zu Halbleitergeräten führt, die größere Gerätebereiche als erwünscht aufweisen. Dies wiederum führt zu einer erhöhten parasitären Kapazität der Obergänge, wodurch die Leistung von Halbleitergeräten, die mit FOX-Isolationsbereichen hergestellt werden, negativ beeinflußt wird. Die Verwendung von STI-Bereichen, die durch Ausbildung der Form eines Grabens in einem bestimmten Bereich des Halbleitersubstrats ausgebildet werden, worauf sich das Ausfüllen der anisotrop erzeugten Form des flachen Grabens anschließt, vermeidet die Ausbildung von Vogelschnäbeln, die bei Isolierbereichen auftritt, die mittels FOX-Bearbeitung erhalten werden. Die STI-Bearbeitung gestattet es daher kleinere Geräte zu erzielen, bei denen eine niedrigere Kapazität der Obergänge vorhanden ist, und die daher eine verbesserte Geräteleistung aufweisen, verglichen mit ihren Gegenstücken, die unter Verwendung der FOX-Technik hergestellt werden.
  • Allerdings muß der Einsatz der STI-Verarbeitung optimiert werden, um bestimmte strukturelle Probleme zu vermeiden, welche die Ausbeute und die Verläßlichkeit der Geräte beeinträchtigen können. Die mit einem Isolator gefüllten STI-Bereiche können STI-Formen mit geringer Breite sein, und ebenso STI-Formen mit größerer Breite. Wenn diese unterschiedlich ausgeformten STI-Bereiche mit Isolierschichten gefüllt werden, die mittels chemischer Dampfablagerung (CVD) abgelagert werden, ist die Topographie, die durch die CVD-Isolierschicht hervorgerufen wird, die zwischen dicht gepackten STI-Formen liegt, höher als jene Topographie, die durch dieselbe CVD-Isolierschicht erzeugt wird, die in einer breiten STI-Form liegt, oder durch den CVD-Isolator erzeugt wird, der in größeren Räumen zwischen STI-Formen liegt. Der Vorgang des chemisch-mechanischen Polierens (CMP), der zur Entfernung unerwünschten CVD-Materials aus Bereichen mit Ausnahme der CVD-Schicht in den STI-Formen verwendet wird, führt unglücklicherweise zu einer Einbeulung, oder zur Entfernung der Füllung der CVD-Schicht von innerhalb der Bereiche mit niedrigerer Topographie, beispielsweise aus STI-Formen mit großer Breite, oder aus großen Bereichen, die zwischen STI-Formen liegen.
  • Zusätzlich zu der unzureichenden Ebenheit, die infolge des Einbeulungseffekts auftritt, kann die CMP-Bearbeitung, die bei dieser Art der Topographie eingesetzt wird, die obere Oberfläche der CVD-Schicht aufrauhen, welche die STI-Formen ausfüllt, wodurch wiederum das Ziel negativ beeinflußt wird, eine glatte Topographie zu erzeugen, die für nachfolgende, darüberliegende Isolier- und Leiteranordnungen benötigt wird.
  • Der vorliegenden Erfindung liegt die Aufgabe zugrunde, ein Verfahren zur Herstellung von mit einem Isolator gefüllten flachen Grabenisolierungen in einem Halbleitersubstrat mit einer gegenüber dem Stand der Technik glatteren Oberflächentopographie bereitzustellen.
  • Im Stand der Technik, beispielsweise im US-Patent 6,010,948 von Yu et al wird ein Verfahren beschrieben, bei welchem STI-Bereiche mit einer Schicht aus Borphosphorsilikatglas (BPSG) gefüllt werden, was zu einer glatten Topographie des Isolators in STI-Bereichen mit beliebigen Abmessungen führt, infolge des Aufschmelzens der BPSG-Schicht. Allerdings wird gemäß der vorliegenden Erfindung eine Vorgehensweise vorgeschlagen, bei welcher eine aufgeschmolzene BPSG-Schicht nur als entsorgbare Schicht verwendet wird, so daß eine glatte Topographie von Siliziumoxid in den STI-Formen über eine CMP-Bearbeitung erzielt werden kann, welche die gesamte Einebnungs-BPSG-Schicht entfernt, sowie einen Teil der darunterliegenden Siliziumoxidschicht, was zu einer glatten Topographie der oberen Oberfläche für mit Siliziumoxid gefüllte STI-Bereiche führt.
  • Das US-Patent Nr. 5 728 621 offenbart ein Verfahren zur Herstellung einer Flachgrabenisolierung, in welchem eine Mehrzahl an Gräben durch eine Nitrid- und eine Oxidschicht in ein Halbleitersubstrat geätzt wird. Nitridbereiche einer größeren und einer kleineren Breite werden zwischen jeweils zwei Gräben ausgebildet und eine Hochdichteplasma-Oxidschicht darüber und in den Gräben abgelagert. Eine Spin-on-Glass-Schicht wird über der Oxidschicht abgelagert, die gemeinsam mit der Oxidschicht oberhalb dem Nitridbereich mit der größeren Breit weggeätzt wird. Die verbleibende Spin-on-Glass-Schicht wird gemeinsam mit der verbleibenden Oxidschicht poliert.
  • Das US-Patent Nr. 6 037 237 offenbart ein Grabenisolierungsverfahren, in dem eine mehrfachgeschichtete Oxidschicht, deren Komponenten durch chemische Dampfablagerung bzw. plasmaverstärkte Dampfablagerung auf TEOS-Basis ausgebildet werden, verwendet wird.
  • In dem US-Patent Nr. 5 312 512 wird ein Verfahren zum Einebnen der Oberfläche eines Halbleiterbauteils beschrieben, in dem eine Spin-on-Glass-Schicht und eine Ätzen desselben vor einem chemisch-mechanischen Polieren verwendet werden.
  • Das US-Patent Nr. 5 872 043 offenbart ebenfalls ein Verfahren zum Einebnen der Oberfläche eines Halbleiterbauteils unter Verwendung chemisch-mechanischen Polierens einer Spin-on-Glass-Schicht mit einer niedrigeren Polierrate als ein Isolationsmaterial mit dem Gräben, die in dem Halbleitersubstrat ausgebildet sind, gefüllt sind.
  • Gemäß der vorliegenden Erfindung wird ein Verfahren zur Herstellung von mit Siliziumoxid gefüllten flachen Grabenisolierungs-Bereichen in einem Halbleitersubstrat, wobei eine entsorgbare, aufgeschmolzene Schicht aus Borphosphorsilikat oberhalb einer Siliziumoxidschicht verwendet wird, und die Borphosphorsilikat-Schicht dazu eingesetzt wird, die Einebnung der mit Siliziumoxid gefüllten flachen Grabenisolierungs-Bereiche zu optimieren, mit folgenden Schritten bereitgestellt: Ablagern einer ersten Siliziumoxidschicht auf dem Halbleitersubstrat; Ablagern einer Siliziumnitridschicht; Ausbilden von flachen Grabenisolierungs-Formen mit einer ersten Breite und von flachen Grabenisolierungs-Formen mit einer zweiten Breite in der Siliziumnitridschicht, in der ersten Siliziumoxidschicht, und in einem oberen Abschnitt des Halbleitersubstrats; thermisches Aufwachsen einer Siliziumoxidauskleidungsschicht auf den freigelegten Oberflächen des Halbleitersubstrats in den flachen Grabenisolierungs-Formen; Ablagern einer zweiten Siliziumoxidschicht mit einem Plasma hoher Dichte auf der Siliziumnitridschicht, und vollständiges Füllen der flachen Grabenisolierungs-Formen mit der ersten Breite und der zweiten Breite; Ablagern der Borphosphorsilikat-Schicht auf der zweiten Siliziumoxidschicht; Durchführen einer Wärmebehandlung, um die Borphosphorsilikat-Schicht aufzuschmelzen und dadurch eine glatte obere Oberflächentopographie zu erhalten; Durchführen einer Bearbeitung durch chemisch-mechanisches Polieren, um die aufgeschmolzene Borphosphorsilikat-Schicht vollständig zu entfernen und die zweite Siliziumoxidschicht über der Siliziumnitridschicht und über den flachen Grabenisolierungs-Formen zu entfernen, wodurch die mit Siliziumoxid gefüllten flachen Grabenisolierungs-Bereiche in den flachen Grabenisolierungs-Formen mit der ersten Breite und in den flachen Grabenisolierungs-Formen mit der zweiten Breite gebildet werden, wobei die obere Oberfläche sämtlicher mit Siliziumoxid gefüllter flachen Grabenisolierungs-Bereiche mit der oberen Oberfläche der Siliziumnitridschicht fluchtet; und Entfernen der Siliziumnitridschicht und der ersten Siliziumoxidschicht von der oberen Oberfläche des Halbleitersubstrats.
  • Die Erfindung wird nachstehend anhand zeichnerisch dargestellter Ausführungsbeispiele bevorzugter Ausführungsformen erläutert, aus denen weitere Vorteile und Aspekte hervorgehen. Es zeigt:
  • 1 bis 6 schematisch Querschnitte von wesentlichen Stufen der Herstellung, die zur Ausbildung von mit einem Isolator gefüllten STI-Bereichen führen, unter Verwendung einer entsorgbaren, aufgeschmolzenen BPSG-Schicht, die zu dem Zweck verwendet wird, mittels einer CMP-Bearbeitung eine Topographie mit glatter oberer Oberfläche der mit einem Isolator gefüllten STI-Bereiche zu erzielen.
  • Als nächstes wird das Verfahren zur Ausbildung von mit einem Isolator gefüllten STI-Bereichen erläutert, wobei eine entsorgbare, aufgeschmolzene BPSG-Schicht eingesetzt wird, die es gestattet, mittels einer CMP-Bearbeitung eine Topographie mit glatter oberer Oberfläche für die mit einem Isolator gefüllten STI-Bereiche zu erzielen. Wie schematisch in 1 gezeigt ist, wird ein Halbleitersubstrat 1, das aus Einkristall-Silizium besteht, verwendet, und zwar mit einer Kristallorientierung <100>. Dann wird eine Siliziumoxidschicht 2 mit einer Dicke von etwa 4,3 bis 5,3 nm ausgebildet, durch chemische Dampfablagerung bei niedrigem Druck (LPCVD), plasmaverstärkte chemische Dampfablagerung (PECVD), oder durch thermische Oxidation. Eine darüberliegende Siliziumnitridschicht 3, die als Sperrschicht für eine nachfolgende Bearbeitung mittels chemisch-mechanischem Polieren (CMP) verwendet werden soll, wird dann mittels LPCVD- oder PECVD-Bearbeitung in einer Dicke von etwa 205 bis 235 nm ausgebildet. Ein Photolackmuster, das in den Zeichnungen nicht dargestellt ist, wird dann als Ätzmaske verwendet, so daß eine anisotrope RIE-Bearbeitung eine flache Grabenform 4 mit geringer Breite ausbilden kann, und eine flache Grabenform 5 mit großer Breite. Die anisotrope RIE-Bearbeitung wird unter Verwendung von CF4 oder CHF3 als Ätzmittel für die Siliziumnitridschicht 3 und die Siliziumoxidschicht 2 durchgeführt, wogegen Cl2 oder SF6 dazu verwendet wird, die Formen der flachen Graben in dem Halbleitersubstrat 1 auszubilden. Die Tiefe der flachen Gräben in dem Halbleitersubstrat 1 beträgt etwa 200 bis 300 nm, und die Breite der flachen Grabenform 4 beträgt etwa 0,245 bis 1,3 μm, und mehr als 1,3 μm für die flache Grabenform 5. Das Photolackmuster, das als Maske zur Ausbildung der Formen der flachen Gräben verwendet wird, wird über Sauerstoffplasmaveraschung und sorgfältiges Naßreinigen entfernt. Das Ergebnis dieser Bearbeitungen ist schematisch in 1 dargestellt.
  • Dann wird eine Siliziumdioxidschicht 6 mittels thermischer Oxidation in einer Dicke von etwa 5 bis 25 nm ausgebildet, um als Grabenauskleidung verwendet zu werden, so daß die Bereiche des Halbleitersubstrats eine Grenzfläche zu einer thermisch oxidierten Siliziumoxidschicht bilden, anstatt zu einem CVD-Isolator, der dann abgelagert würde, um die Formen der flachen Gräben auszufüllen. Der Einsatz der Grabenauskleidung 6 aus Siliziumdioxid ist nicht unbedingt erforderlich und erfolgt je nach Wunsch. Dann wird eine Isolierschicht 7, die aus Siliziumoxid besteht, dazu verwendet, die Formen der flachen Gräben vollständig auszufüllen. Die Isolierschicht 7 wird als Siliziumoxidschicht erhalten, die durch ein Plasma hoher Dichte (HDP) hergestellt wird, und in einer Dicke von etwa 450 bis 410 nm abgelagert wird, bei einer Temperatur zwischen etwa 550 und 650 °C, mit Tetraethylorthosilikat (TEOS) als Quelle. Dies ist schematisch in 2 gezeigt. Obwohl die HDP-Siliziumoxidschicht 7 sowohl schmale als auch breite Gräben vollständig ausfüllt, führt der Höhenunterschied zwischen der HDP-Siliziumoxidschicht in den Gräben und der HDP-Siliziumoxidschicht an der oberen Oberfläche der Siliziumnitridschicht 3 zu Vertiefungen 10a, die schematisch in 2 dargestellt sind, und die zu Schwierigkeiten bei nachfolgenden CMP-Bearbeitungen führen können. Weiterhin ist, obwohl dies in den Zeichnungen nicht dargestellt ist, ein Unterschied bezüglich der Topographie bei der HDP-Siliziumoxidschicht, auf der Siliziumnitridschicht 3, die in großen Räumen zwischen Gräben vorhanden ist, und der HDP-Siliziumoxidschicht 7 vorhanden, die sich in den Gräben befindet. Vertiefungen 10a in der HDP-Siliziumoxidschicht 7, die sich in breiten Gräben 5 befinden, können Einbeulungen aufweisen, oder einen größeren Anteil als erwünscht der Isolierschicht aufweisen, der während einer nachfolgenden CMP-Bearbeitung zum Einebnen entfernt wird. Darüber hinaus ist der Anteil der HDP-Siliziumoxidschicht 7, der sich im Bereich 15 befindet, also in einem Bereich, in dem ein großer Abstand zwischen STI-Formen vorhanden ist, ebenfalls empfänglich für den Einbeulungseffekt während der CMP-Bearbeitung zum Einebnen. Daher wird eine Bearbeitung zum Einebnen der Oberfläche des Geräts vor der CMP-Bearbeitung verwendet. Eine Schicht 8 aus Borphosphorsilikatglas (BPSG) wird über ein PECVD-Verfahren in einer Dicke von etwa 500 bis 600 nm abgelagert. Das BPSG, das unter Verwendung von TEOS als Quelle für den Siliziumoxidbestandteil abgelagert wird, enthält B2O3 mit 3 bis 4, 5 Gew.-%, und P2O5 mit etwa 3 bis 5, 5 Gew.-%. Diese Zusammensetzung gestattet eine Wärmebehandlung, der bei einer Temperatur von etwa 850 bis 950 °C über einen Zeitraum von etwa 30 bis 90 Minuten durchgeführt wird, um die BPSG-Schicht 8 zu erweichen oder aufzuschmelzen, was zu einer Topographie mit glatter oberer Oberfläche führt, wie dies schematisch in 3 dargestellt ist. Die Vertiefung 10a in der HDP-Siliziumoxidschicht 7 in dem breiten Graben 5 wird auf eine Vertiefung 10b in der aufgeschmolzenen BPSG-Schicht 8 verringert.
  • Infolge einer Verringerung der Vertiefungen in der HDP-Siliziumoxidschicht 7 in breiten Gräben, oder in Bereichen, in welchen die HDP-Siliziumoxidschicht auf der oberen Oberfläche der Siliziumnitridschicht 3 liegt, in einem Bereich, in welchem ein großer Abstand zwischen Gräben vorhanden ist, kann eine nachfolgende CMP-Bearbeitung ohne Einbeulung durchgeführt werden, und ohne einen größeren Anteil als erwünscht der HDP-Siliziumoxidschicht zu entfernen. Die CMP-Bearbeitung entfernt selektiv sowohl die aufgeschmolzene BPSG-Schicht 8 als auch die Abschnitte der HDP-Siliziumoxidschicht 7, die auf der oberen Oberfläche des Siliziumnitrids liegen, wodurch die Sperrschicht 3 poliert wird. Dies ist schematisch in 4 dargestellt. Die CMP-Bearbeitung, die beim Auftauchen der Siliziumnitridschicht 3 endet, führt zu der gewünschten glatten Topographie der oberen Oberfläche, die aus mit einem Isolator gefüllten Gräben besteht, wodurch eine obere Oberfläche erzielt wird, die mit der oberen Oberfläche der Siliziumnitridschicht 3 fluchtet, in Bereichen eng beabstandeter Gräben, und ebenso in Bereichen, in denen die Gräben nicht eng beabstandet sind, beispielsweise im Bereich 15. Dieses Ergebnis wird infolge der Tatsache erzielt, daß die CMP-Abtragrate bei der BPSG-Schicht 8 und der HDP-Siliziumoxidschicht 7 gleich ist, und infolge der Tatsache, daß die Isolatorzusammensetzung (BPSG-Schicht 8 und HDP-Siliziumoxid 7) über das gesamte Gerät eine gleichförmige Dicke aufweist, infolge der aufgeschmolzenen BPSG-Schicht.
  • Falls gewünscht kann gemäß einem Beispiel, das nicht Teil der Erfindung ist, anstatt der BPSG-Schicht 8 eine Glasaufschleuderschicht (SOG-Schicht) verwendet werden. Die SOG-Schicht wird in einer Dicke von etwa 500 bis 700 nm aufgebracht, und hieran schließt sich die gleiche Wärmebehandlung an, die auch bei der Ausführungsform mit BPSG verwendet wurde. Die SOG-Ablagerung und die folgende Wärmebehandlung führen wiederum zur Verringerung der Vertiefungen in der darunterliegenden HDP-Siliziumoxidschicht in Bereichen, bei denen die HDP-Siliziumoxidschicht in einem breiten Graben liegt. Die schnelle Abtragrate sowohl bei SOG- als auch HDP-Siliziumoxid während der CMP-Bearbeitung, verglichen damit, daß die Siliziumnitrid-Sperrschicht 3 nicht entfernt wird, führt wiederum zur gewünschten Topographie der oberen Oberfläche, die aus mit einem Isolator gefüllten Gräben besteht, und mit der oberen Oberfläche der Siliziumnitridschicht 3 fluchtet.
  • 5 zeigt schematisch die Herstellung eines Geräts beispielsweise eines Geräts 9 in Form eines Metalloxidhalbleiter-Feldeffekttransistors (MOSFET), bei welchem die mittels HDP gefüllten STI-Bereiche zum Zwecke der Isolierung vorgesehen sind. Zuerst werden die Siliziumnitrid-Sperrschicht 3 und die Siliziumoxidschicht 2 entfernt, unter Verwendung entweder einer Trockenätz- oder einer Naßätzbearbeitung. Bei der Naßätzung wird eine heiße Phosphorsäurelösung bei der Siliziumnitrid-Sperrschicht 3 eingesetzt, und eine gepufferte Flußsäurelösung für die Siliziumoxidschicht 2. Die Abtragrate für die HDP-Siliziumoxidschicht 7 und die Siliziumoxidschicht 2 sind bei der gepufferte Flußsäurelösung gleich, so daß die endgültige Höhe des HDP-Siliziumoxids in den STI-Bereichen höher ist als die obere Oberfläche des Halbleitersubstrats 1, und zwar um die Dicke der Siliziumnitridschicht 3. Bei der Trockenätzung zum Entfernen dieser Schichten wird eine RIE-Bearbeitung eingesetzt, unter Verwendung von entweder CF4 oder CHF3 als Ätzmittel für sowohl die Siliziumnitridschicht 3 als auch die Siliziumoxidschicht 2. Nach Ausbildung einer Gateisolierung 11, die aus Siliziumdioxid besteht, wird eine Gateanordnung 12 erzeugt, die entweder aus dotiertem Polysilizium oder aus Polycid (Metallsilizid-Polysizilium) besteht. Seitenwand-Abstandsstücke 13, die entweder aus Siliziumoxid oder Siliziumnitrid bestehen, werden dann an den Seiten der Gateanordnung 12 mittels Ablagerung einer Isolierschicht ausgebildet, woran sich eine anisotrope RIE-Bearbeitung anschließt. Ein Source/Drainbereich 14 wird dann durch Ionenimplantierungsbearbeitung erzeugt, mittels Selbstausrichtung in Bezug auf die Gateanordnung 12, und im Anschluß an den mit einem Isolator gefüllten STI-Bereich 5.
  • Ein weiteres Beispiel, das nicht Teil der vorliegenden Erfindung ist, besteht darin, eine Photolithographie- und Ätzbearbeitung bei der Zusammensetzung (BPSG-Schicht 8 und HDP-Siliziumoxidschicht 7) in Bereichen einzusetzen, welche eine dickere HDP-Siliziumoxidschicht 7 aufweist. Der Bereich 15, in dem ein Bereich mit einer geringeren Dichte an STI-Bereichen vorhanden ist, stellt wiederum einen Bereich dar, der empfänglich für die dickere HDP-Siliziumoxidschicht ist. Das Photolackmuster 16 wird als Maske verwendet, um freigelegten Bereiche der BPSG-Schicht 8 auszubilden, sowie einen Abschnitt freigelegter Bereiche der HDP-Siliziumoxidschicht 7, die mittels Trockenätzung entfernt werden, unter Verwendung von CHF3 oder CF4 als Ätzmittel, oder mittels Naßätzbearbeitung, wobei eine gepufferte Flußsäurelösung als Ätzmittel verwendet wird. Das Photolackmuster 16 wird dann mittels Sauerstoffplasmaveraschung und sorgfältige Naßreinigungsbearbeitung entfernt, und hieran schließt sich die gleiche CMP-Bearbeitung an, die bereits im Zusammenhang mit der ersten Ausführungsform beschrieben wurde, was zu der Anordnung führt, die schematisch in 4 dargestellt ist. Die Kombination aus der zusammengesetzten Isolierschicht, welche die eingeebnete, aufgeschmolzene BPSG-Schicht aufweist, und der Ausdünnung der zusammengesetzten Isolierschicht in Bereichen, bei denen eine niedrige Dichte an STI-Formen vorhanden ist, führt zu der gewünschten Topographie einer glatten oberen Oberfläche, nach Einsatz der CMP-Bearbeitung zur Festlegung der STI-Formen. Darüber hinaus verringert der Einsatz der darüberliegenden Schicht aus aufgeschmolzenem BPSG das Ausmaß der Rauhigkeit der Oberfläche der HDP-Siliziumoxidschicht in den STI-Formen, verglichen mit jenem Fall, in welchem die Herstellung unter Verwendung nur von HDP-Siliziumoxid erfolgte.

Claims (12)

  1. Verfahren zur Herstellung von mit Siliziumoxid gefüllten flachen Grabenisolierungs-Bereichen in einem Halbleitersubstrat, wobei eine entsorgbare, aufgeschmolzene Schicht aus Borphosphorsilikat oberhalb einer Siliziumoxidschicht verwendet wird, und die Borphosphorsilikat-Schicht dazu eingesetzt wird, die Einebnung der mit Siliziumoxid gefüllten flachen Grabenisolienungs-Bereiche zu optimieren, mit folgenden Schritten: Ablagern einer ersten Siliziumoxidschicht (2) auf dem Halbleitersubstrat (1); Ablagern einer Siliziumnitridschicht (3); Ausbilden von flachen Grabenisolierungs-Formen (4) mit einer ersten Breite und von flachen Grabenisolierungs-Formen (5) mit einer zweiten Breite in der Siliziumnitridschicht (3), in der ersten Siliziumoxidschicht (2), und in einem oberen Abschnitt des Halbleitersubstrats (1); thermisches Aufwachsen einer Siliziumoxidauskleidungsschicht (6) auf den freigelegten Oberflächen des Halbleitersubstrats (1) in den flachen Grabenisolierungs-Formen (4; 5); Ablagern einer zweiten Siliziumoxidschicht (7) mit einem Plasma hoher Dichte auf der Siliziumnitridschicht (3), und vollständiges Füllen der flachen Grabenisolierungs-Formen (4, 5) mit der ersten Breite und der zweiten Breite; Ablagern der Borphosphorsilikat-Schicht (8) auf der zweiten Siliziumoxidschicht (7); Durchführen einer Wärmebehandlung, um die Borphosphorsilikat-Schicht (8) aufzuschmelzen und dadurch eine glatte obere Oberflächentopographie zu erhalten; Durchführen einer Bearbeitung durch chemisch-mechanisches Polieren, um die aufgeschmolzene Borphosphorsilikat-Schicht (8) vollständig zu entfernen und die zweite Siliziumoxidschicht (7) über der Siliziumnitrdschicht (3) und über den flachen Grabenisolierungs-Formen (4, 5) zu entfernen, wodurch die mit Siliziumoxid gefüllten flachen Grabenisolierungs-Bereiche in den flachen Grabenisolierungs-Formen (4) mit der ersten Breite und in den flachen Grabenisolierungs-Formen (5) mit der zweiten Breite gebildet werden, wobei die obere Oberfläche sämtlicher mit Siliziumoxid gefüllter flachen Grabenisolierungs-Bereiche mit der oberen Oberfläche der Siliziumnitridschicht (3) fluchtet; und Entfernen der Siliziumnitrdschicht (3) und der ersten Siliziumoxidschicht (2) von der oberen Oberfläche des Halbleitersubstrats (1).
  2. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die erste Siliziumoxidschicht (2) durch chemische Dampfablagerung bei niedrigem Druck, plasmaverstärkte chemische Dampfablagerung oder thermische Oxidation in einer Dicke von etwa 4,3 bis 5,3 nm erhalten wird.
  3. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die Siliziumnitridschicht (3) durch chemische Dampfablagerung bei niedrigem Druck oder plasmaverstärkte chemische Dampfablagerung in einer Dicke von etwa 205 bis 235 nm erhalten wird.
  4. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die flachen Grabenisolierungs-Formen (4) in einer ersten Breite aus engen Gräben bestehen und eine Breite von etwa 0,245 bis 1,3 μm aufweisen.
  5. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die flachen Grabenisolierungs-Formen (5) mit großer Breite aus breiten Gräben bestehen und eine Breite von mehr als 1,3 μm aufweisen.
  6. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die Tiefe der flachen Grabenisolierungs-Formen mit der ersten Breite und der zweiten Breite (4, 5), die sich in dem oberen Abschnitt des Halbleitersubstrats (1) befinden, etwa 200 bis 300 nm beträgt.
  7. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die Siliziumoxidauskleidungsschicht (6), die auf den freiliegenden Oberflächen des Halbleitersubstrats (1) in den flachen Grabenisolierungs-Formen (4, 5) angeordnet ist, durch Bearbeitung mittels thermischer Oxidation in einer Dicke von etwa 10 bis 14 nm erhalten wird.
  8. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die zweite Siliziumoxidschicht (7) in einer Dicke von etwa 450 bis 510 nm unter Verwendung eines Ablagerungsvorgangs mit einem Plasma hoher Dichte erhalten wird, wobei Tetraethylorthosilikat als Quelle dient.
  9. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die Borphosphorsilikatschicht (8) durch chemische Dampfablagerung bei niedrigem Druck oder plasmaverstärkte chemische Dampfablagerung in einer Dicke von etwa 500 bis 600 nm erhalten wird, und B2O3 mit etwa 3 bis 4,5 Gew.-% aufweist, sowie P2O5 mit etwa 3 bis 5,5 Gew.-%.
  10. Verfahren nach Anspruch 11, dadurch gekennzeichnet, daß die Wärmebehandlung bei einer Temperatur von etwa 850 bis 950 °C über einen Zeitraum von etwa 30 bis 90 Minuten durchgeführt wird.
  11. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die Siliziumnitridschicht (3) und die erste Siliziumoxidschicht (2) mittels Naßätzbearbeitung entfernt werden, wobei heiße Phosphorsäure als Ätzmittel für die Siliziumnitridschicht (3) verwendet wird, und gepufferte Flusssäure als Ätzmittel für die erste Siliziumoxidschicht (2).
  12. Verfahren nach Anspruch 1, dadurch gekennzeichnet, daß die Siliziumnitridschicht (3) und die erste Siliziumoxidschicht (2) mittels Trockenätzbearbeitung entfernt werden, wobei CF4 oder CHF3 als Ätzmittel sowohl für die Siliziumnitridschicht (3) als auch die erste Siliziumoxidschicht (2) verwendet wird.
DE10103779A 2001-01-16 2001-01-29 Herstellung von Grabenisolierungs-Bereichen in einem Halbleitersubstrat Expired - Fee Related DE10103779B4 (de)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US09/759,905 US6399461B1 (en) 2001-01-16 2001-01-16 Addition of planarizing dielectric layer to reduce a dishing phenomena experienced during a chemical mechanical procedure used in the formation of shallow trench isolation regions
DE10103779A DE10103779B4 (de) 2001-01-16 2001-01-29 Herstellung von Grabenisolierungs-Bereichen in einem Halbleitersubstrat

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/759,905 US6399461B1 (en) 2001-01-16 2001-01-16 Addition of planarizing dielectric layer to reduce a dishing phenomena experienced during a chemical mechanical procedure used in the formation of shallow trench isolation regions
DE10103779A DE10103779B4 (de) 2001-01-16 2001-01-29 Herstellung von Grabenisolierungs-Bereichen in einem Halbleitersubstrat

Publications (2)

Publication Number Publication Date
DE10103779A1 DE10103779A1 (de) 2002-08-22
DE10103779B4 true DE10103779B4 (de) 2006-07-27

Family

ID=26008351

Family Applications (1)

Application Number Title Priority Date Filing Date
DE10103779A Expired - Fee Related DE10103779B4 (de) 2001-01-16 2001-01-29 Herstellung von Grabenisolierungs-Bereichen in einem Halbleitersubstrat

Country Status (2)

Country Link
US (1) US6399461B1 (de)
DE (1) DE10103779B4 (de)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6475875B1 (en) * 2001-07-09 2002-11-05 Chartered Semiconductor Manufacturing Ltd. Shallow trench isolation elevation uniformity via insertion of a polysilicon etch layer
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US6878644B2 (en) * 2003-05-06 2005-04-12 Applied Materials, Inc. Multistep cure technique for spin-on-glass films
US7141468B2 (en) * 2003-10-27 2006-11-28 Texas Instruments Incorporated Application of different isolation schemes for logic and embedded memory
CN100352034C (zh) * 2003-11-25 2007-11-28 上海华虹(集团)有限公司 一种控制sti cmp工艺中残余氮化硅厚度稳定性的方法
US7968425B2 (en) 2006-07-14 2011-06-28 Micron Technology, Inc. Isolation regions
JP2008210909A (ja) * 2007-02-26 2008-09-11 Toshiba Corp 半導体装置の製造方法
US20110115018A1 (en) * 2009-11-13 2011-05-19 Maxim Integrated Products, Inc. Mos power transistor
US8987818B1 (en) 2009-11-13 2015-03-24 Maxim Integrated Products, Inc. Integrated MOS power transistor with thin gate oxide and low gate charge
US20110115019A1 (en) * 2009-11-13 2011-05-19 Maxim Integrated Products, Inc. Cmos compatible low gate charge lateral mosfet
US8963241B1 (en) 2009-11-13 2015-02-24 Maxim Integrated Products, Inc. Integrated MOS power transistor with poly field plate extension for depletion assist
US8969958B1 (en) 2009-11-13 2015-03-03 Maxim Integrated Products, Inc. Integrated MOS power transistor with body extension region for poly field plate depletion assist
US8946851B1 (en) 2009-11-13 2015-02-03 Maxim Integrated Products, Inc. Integrated MOS power transistor with thin gate oxide and low gate charge
US10672748B1 (en) 2010-06-02 2020-06-02 Maxim Integrated Products, Inc. Use of device assembly for a generalization of three-dimensional heterogeneous technologies integration
US8349653B2 (en) 2010-06-02 2013-01-08 Maxim Integrated Products, Inc. Use of device assembly for a generalization of three-dimensional metal interconnect technologies
US8426282B2 (en) * 2011-02-21 2013-04-23 Institute of Microelectronics, Chinese Academy of Sciences Method for forming semiconductor substrate isolation
KR101931930B1 (ko) * 2011-12-21 2018-12-24 바스프 에스이 Cmp 조성물의 제조 방법 및 그의 적용
CN103928293B (zh) * 2013-01-14 2016-12-28 北大方正集团有限公司 一种对金属前介质层进行处理的方法
US9443726B1 (en) * 2015-03-13 2016-09-13 United Microelectronics Corp. Semiconductor process
CN106158593A (zh) * 2016-09-26 2016-11-23 上海先进半导体制造股份有限公司 制造半导体的工艺方法
US11037795B2 (en) 2019-08-02 2021-06-15 International Business Machines Corporation Planarization of dielectric topography and stopping in dielectric
CN112864092B (zh) * 2019-11-26 2024-03-08 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法、晶体管

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5312512A (en) * 1992-10-23 1994-05-17 Ncr Corporation Global planarization using SOG and CMP
US5728621A (en) * 1997-04-28 1998-03-17 Chartered Semiconductor Manufacturing Pte Ltd Method for shallow trench isolation
US5872043A (en) * 1996-07-25 1999-02-16 Industrial Technology Research Institute Method of planarizing wafers with shallow trench isolation
US6037237A (en) * 1997-07-25 2000-03-14 Samsung Electronics Co., Ltd. Trench isolation methods utilizing composite oxide films

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5690525A (en) * 1979-11-28 1981-07-22 Fujitsu Ltd Manufacture of semiconductor device
US4836887A (en) * 1987-11-23 1989-06-06 International Business Machines Corporation Chlorofluorocarbon additives for enhancing etch rates in fluorinated halocarbon/oxidant plasmas
KR100226488B1 (ko) 1996-12-26 1999-10-15 김영환 반도체 소자 격리구조 및 그 형성방법
US5817567A (en) * 1997-04-07 1998-10-06 Taiwan Semiconductor Manufacturing Company Ltd. Shallow trench isolation method
US5801082A (en) 1997-08-18 1998-09-01 Vanguard International Semiconductor Corporation Method for making improved shallow trench isolation with dielectric studs for semiconductor integrated circuits
US5976948A (en) * 1998-02-19 1999-11-02 Advanced Micro Devices Process for forming an isolation region with trench cap
US6010948A (en) 1999-02-05 2000-01-04 Taiwan Semiconductor Manufacturing Company Shallow trench isolation process employing a BPSG trench fill

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5312512A (en) * 1992-10-23 1994-05-17 Ncr Corporation Global planarization using SOG and CMP
US5872043A (en) * 1996-07-25 1999-02-16 Industrial Technology Research Institute Method of planarizing wafers with shallow trench isolation
US5728621A (en) * 1997-04-28 1998-03-17 Chartered Semiconductor Manufacturing Pte Ltd Method for shallow trench isolation
US6037237A (en) * 1997-07-25 2000-03-14 Samsung Electronics Co., Ltd. Trench isolation methods utilizing composite oxide films

Also Published As

Publication number Publication date
DE10103779A1 (de) 2002-08-22
US6399461B1 (en) 2002-06-04

Similar Documents

Publication Publication Date Title
DE10103779B4 (de) Herstellung von Grabenisolierungs-Bereichen in einem Halbleitersubstrat
DE19935946B4 (de) Verfahren zum Ausbilden einer dielektrischen Schicht
EP0645808B1 (de) Verfahren zur Herstellung eines Isolationsgrabens in einem SOI-Substrat
DE4310954C2 (de) Halbleiter-Bearbeitungsverfahren zum Herstellen eines Isoliergrabens in einem Substrat
DE10209989B4 (de) Verfahren zur Herstellung von DRAM-Grabenkondensatorstrukturen mit kleinen Durchmessern mittels SOI-Technologie
DE102007008779A1 (de) Verfahren zur Herstellung von Isolationsgebieten von Halbleiteranordnungen und Strukturen derselben
DE10141948B4 (de) Halbleiterspeichervorrichtung und Herstellungsverfahren dafür
DE112013004335B4 (de) Halbleiterbauelement mit Elementisolationsgebieten und Verfahren zu dessen Herstellung
DE102004057237A1 (de) Verfahren zum Herstellen von Kontaktlöchern in einem Halbleiterkörper sowie eine Halbleiterstruktur
DE10245179A1 (de) Leitungen auf mehreren Ebenen mit reduziertem Rasterabstand
DE60131926T2 (de) Verfahren zur Herstellung von selbjustierenden L-förmigen Seitenwand-Abstandsstücken
DE10021385A1 (de) Verfahren zur Erzeugung einer unteren Kondensatorelektrode unter Verwendung einer CMP-Stoppschicht
DE10296608T5 (de) Strukturieren eines vertikalen Gates zum Erzielen verbesserter GC- und CB-Prozessbedingungen
DE10011642C2 (de) Verfahren zur Erzeugung einer Flachgrabenisolation eines Halbleiterbauteils
DE4232621C1 (de) Herstellverfahren für ein selbstjustiertes Kontaktloch und Halbleiterstruktur
DE10162905B4 (de) Neuartiges Konsolidierungsverfahren für die Übergangskontaktätzung für DT-basierte DRAM-Bauelemente mit weniger als 150 NM
EP1019958B1 (de) Verfahren zur ausbildung einer grabenstruktur in einem siliziumsubstrat
DE10054190A1 (de) Verfahren zum Einebnen einer Isolierung in Form eines flachen Grabens
DE10233195A1 (de) Halbleitervorrichtung mit Grabenisolierung und Verfahren zu deren Herstellung
DE10261404B4 (de) Verfahren zum Herstellen eines Halbleiterbauelements
DE112007000751T5 (de) Graben-Isolationsstruktur mit einem erweiterten Abschnitt
DE102005037566B4 (de) Herstellungsverfahren für eine Halbleiterstruktur und entsprechende Halbleiterstruktur
EP1164638A2 (de) Verfahren zur Erhöhung Kapazität von Grabenkondensatoren
DE10138510B4 (de) Grabenisolation mit selbstjustierender Oberflächenversiegelung und Verfahren zur Herstellung einer solchen Grabenisolation
DE10310080A1 (de) Neuartiges Verfahren zum Ausbilden tieferer Gräben unabhängig von lithografisch bedingten, kritischen Abmessungen

Legal Events

Date Code Title Description
OP8 Request for examination as to paragraph 44 patent law
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee