CN1777702A - 净化铜或镍的等离子体处理 - Google Patents

净化铜或镍的等离子体处理 Download PDF

Info

Publication number
CN1777702A
CN1777702A CNA200480010539XA CN200480010539A CN1777702A CN 1777702 A CN1777702 A CN 1777702A CN A200480010539X A CNA200480010539X A CN A200480010539XA CN 200480010539 A CN200480010539 A CN 200480010539A CN 1777702 A CN1777702 A CN 1777702A
Authority
CN
China
Prior art keywords
treatment chamber
plasma
accordance
oxygen
hydrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200480010539XA
Other languages
English (en)
Other versions
CN100393914C (zh
Inventor
米兰·莫齐蒂克
尤罗斯·克维尔巴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kolektor Group doo
Original Assignee
Kolektor Group doo
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kolektor Group doo filed Critical Kolektor Group doo
Publication of CN1777702A publication Critical patent/CN1777702A/zh
Application granted granted Critical
Publication of CN100393914C publication Critical patent/CN100393914C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/22Secondary treatment of printed circuits
    • H05K3/26Cleaning or polishing of the conductive pattern
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K1/00Soldering, e.g. brazing, or unsoldering
    • B23K1/20Preliminary treatment of work or areas to be soldered, e.g. in respect of a galvanic coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)
  • ing And Chemical Polishing (AREA)
  • Manufacture And Refinement Of Metals (AREA)
  • Solid-Phase Diffusion Into Metallic Material Surfaces (AREA)
  • Cleaning In General (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及一种处理电子构件的方法,这些构件用铜或镍或它们相互之间或与其他材料的合金例如黄铜制成或用它们包覆,该方法包括下列步骤:将所述构件安置在一处理室内;将所述处理室抽成真空;将氧气导入到所述处理室内;确保所述处理室内压强在10-1至50mbar范围内以及借助频率高于约1MHz的高频发生器在该处理室内激发等离子体;使氧原子团作用到所述构件上,此时流到构件表面上的原子团流量大于每秒每平方米约1021个原子团;对所述处理室抽气;将氢气导入到所述处理室内;确保所述处理室内的压强在10-1至50mbar范围内以及借助频率高于约1MHz的高频发生器在该处理室内激发等离子体;使氢原子团作用到所述构件上,此时流到构件表面上的原子团流量大于每秒每平方米约1021个原子团。

Description

净化铜或镍的等离子体处理
技术领域
本发明涉及一种处理方法,尤其用于通过使用活性等离子体净化的铜或镍或它们的合金例如黄铜制成的或用它们包覆的电子构件。
背景技术
用铜或镍或它们的合金例如黄铜制成或用它们包覆的构件典型地被一污染层覆盖。往往在表面上存在至少一个天生的氧化层。这些构件还经常被不同的有机和无机污垢污染。有机污垢常常是在加工过程中施加的油或脂的残余物。无机污垢含有氧化物,还有氯化物和硫化物。在表面上无机污垢的厚度取决于构件存放的环境和温度。温度越高,无机污垢层越厚。
在构件上的污垢层应在进一步加工前,尤其在印刷、涂漆、粘接、钎焊或熔焊前去除,以保证良好的加工质量。
金属构件表面净化的传统方法包括机械加工和化学处理。机械净化往往通过刷清或喷砂实施,而化学净化通过将构件浸入化学药剂的溶液内,然后用蒸馏水淋洗并接着干燥来实现。
但是不管哪一种方法都不能保证构件理想的纯净度。还始终在表面上存在一个薄的污垢层。这对于接下来的高温加工,如熔焊和硬钎焊通常是有利的,至少是无害的。然而在微电子技术领域,期望的纯净度通常远远超过传统方法的极限;因为在电子构件中经常进行的那些低温加工如粘接、涂漆和印刷时,表面的残留污垢会影响加工质量。因此需求一更好的净化过程,以便去除所有的表面污垢以及获得一种实际上原子纯的表面。
这尤其涉及铜,这一元素现在认为是一种中间化合物质,因为铜有较小的单位电阻和比较高的电流负荷能力。然而铜很易于氧化。在存放铜时氧化是有害的,它影响在相邻层上的粘附,损害铜结构元件的电导率,以及降低整个电路的工作可靠性。因此需要一种极其有效的方法来净化在具有集成电路的设备中的铜的沉积层。
在生产具有集成电路的设备的一个或多个步骤中采用新型净化工艺。这些新型方法基于使用气体(经常是一种低压等离子体)的失衡状态,如在文章“用于电子器件制造中的等离子方法(Plasmaverfahren in derElektronikfertigung)”(J.Messelhause,mo,Jahrg.55(2001)8,33至36页)所述,或基于在活性粒子上丰富的余辉。它们被利用来去除在生产过程中在表面上形成的有机污垢和无机污垢,以及还用于净化制造室。在德国公开文件DE 19702124 A1中也介绍了一种净化工件表面的方法。据此,可为此使用不同的气体、单独或作为两种或多种成分的气体混合物来产生等离子体。DE 4034842 C2介绍了一种等离子化学净化法,用氧和氢作为彼此相继的工作气体,以及介绍了一种接下来进行的金属基质的PVD或PECVD涂层。在这里借助在微波区内的频率进行等离子体的激发,为此力求获得高的原子团和离子份额。在日本专利申请JP 62158859A中介绍了表面预处理的另一种可能性,其中,表面首先用惰性气体的离子以及然后用氢离子轰击。
在不同的引文中记载和授予专利权的包括等离子净化的铜净化法,例如作为一种在制造具有集成电路的设备过程中的加工方法而用于初步净化(US 6107192、TW 411497、FR 2801905),用于去除侧壁、连接装置和通道上的氧化层(TW 471126、US 2001-049181、US 6323121、US 6309957、US6204192、EP-1041614、WO 00/29642)或用于去除铜连接点上的氧化层(WO02/073687、US 2002-127825),或用于改善铜方法综合特性(Kupferverfahrensintegration)(US 6395642),或用于净化具有集成半导体电路的设备(US 2002-042193),集成半导体电路具有埋入的在主导体层内含铜的中间化合物。推荐用于铜净化的气体是氢气和氮气的混合气体或氨气。在专利文件TW 471126中推荐氩气和氢气组成的混合气体。这种混合气体也适用于去除含氟的苛性残留物(TW 472319)。
等离子净化作为一种在铜酸洗过程后从半导体处理室的表面去除沉积的酸洗副产品的方法也已被授予专利权(US 6352081、TW 466629、WO01/04936)。这种方法包括施加一种氧化的等离子体和一种含有活性氟酸盐的等离子体。
发明内容
本发明的目的是创造一种处理用铜或镍或它们相互组合成的合金或与其他材料组成的合金例如黄铜制成或覆盖的电子构件的方法,通过此方法净化所涉及构件的表面,以及以特别的方式为后续的具有最高质量的低温加工作好准备。
通过在权利要求1中说明的方法达到此目的。据此,按照本发明所述构件先后遭遇氧等离子体和氢等离子体,以便首先清除有机污垢以及接着消除氧化的污垢,在两个等离子体处理步骤期间遵守特殊的条件,包括有关在处理室内的压强(10-1至50mbar)、在处理室内激发等离子体的方式(借助频率高于约1MHz的高频发生器)、以及氧原子团作用到构件上的强度(流到构件表面上的原子团流量超过每平方米约1021个原子团)。由此有利于进一步加工,尤其是因为改善了接下来的粘接剂或焊料金属在表面上的粘附以及减小了连接点的电阻。这种方法可有利于保护环境地取代工业的净化过程,而这些工业净化过程当前采用湿式化学净化。
本发明提供了一种从电子构件表面去除有机和无机污垢的方法,所述构件用铜或镍或它们的合金如黄铜制成或用它们包覆。将构件置入一真空室内,该真空室优选地抽成真空度达压强为10Pa或更低。然后向真空室填充氧化气体。在一优选的实施方式中,所述氧化气体是纯氧气或是一种氩气或另一种惰性气体与氧气的混合物,以及总的压强为10至5000Pa。按另一种实施方式也可以规定加入水蒸气或氩气或另一种惰性气体与水蒸气的混合物。氩气可以用任何惰性气体代替。等离子体通过高频放电激发。在放电中产生的氧原子团与有机的表面污垢相互作用,以及将它们氧化成从表面解吸和被抽出的水和氧化碳。在氧化的等离子处理后,表面便没有有机污垢。
无机污垢(主要是氧化铜或氧化镍)通过在真空室内加入氢气或氩气和氢气的混合物去除。氩气可以用任何惰性气体代替。等离子体通过高频放电激发。在放电中产生的氢原子团与无机的表面污垢相互作用,以及将它们还原为从表面解吸和被抽出的水和其他简单的分子,如HCl、H2S、HF等。在氢等离子处理后,表面实际上没有任何污垢。
本发明的一个独特之处在于,基于这些特殊的条件,在处理过程中没有发生用高能离子轰击表面或只是极轻微地轰击表面,这业已证明是特别有利的。
采用按照本发明的方法处理用铜或镍制成或用它们包覆的电子构件有一系列明显的优点。该方法实现了良好地粘附任何沉积在表面上的材料,包括粘接剂、颜料和低温钎焊金属,保证通过构件与敷层的接触面有良好的电导率,这在生态学上是有利的,以及它的运行费用及其维护费用是最低的。本发明与此同时还兼顾到下列想法,即,通过减小构件表面上污垢含量的等离子处理,提高了相邻层的粘附能力以及减小了通过连接面形成的电阻。
按照本发明经等离子处理的表面被钝化,这导致面对空气或水腐蚀有更长期的稳定性。此外,这样一种表面实现了非常良好地粘附任何沉积在表面上的材料,包括粘接剂、颜料和钎焊金属。
附图说明
图1示意性地表示规定用于等离子净化铜或镍的一系统的例子;
图2a是俄歇电子能谱AES(Auger Elektronenspektroskopie)-深度断面图,它表示在未处理的铜试件表面上化学元素的浓度作为溅射时间的函数;
图2b是俄歇电子能谱-深度断面图,它表示在湿式化学处理后的铜试件表面上化学元素的浓度作为溅射时间的函数;
图2c是俄歇电子能谱-深度断面图,它表示在氧等离子处理后的铜试件表面上化学元素的浓度作为溅射时间的函数;
图2d是俄歇电子能谱-深度断面图,它表示在氧或氢等离子处理后的铜试件表面上化学元素的浓度作为溅射时间的函数。
具体实施方式
在图1的示意图中表示出一用于等离子处理铜或镍的系统结构的举例。该系统包括一个放电室7、一台带有一阀门2的真空泵1,一个有滤筛的收集器3、三个不同的排出阀8及三个装氧气、氢气和另一种气体(尤其惰性气体)的气瓶9,以及该系统实现有效和经济的处理加工。在酸洗过程中,等离子体参数如在放电室内原子团的剂量,通过一真空计4和两个或更多个探测器如催化探测器5和朗谬尔探测器6控制。将原子团流量调整为每秒每平方米约大于1021个原子团,优选地大于1022或更有利地大于1024个原子团。
在气态的含有一种氧化气体(优选氧气或水蒸气)的等离子体内形成原子团的速度取决于放电源的功率。此功率优选地界于每升放电容积30与1000W之间,以保证在10与5000Pa之间的压强范围内形成一种相当均匀的等离子体。气体可以是一种由氩气和氧化气体组成的混合气体,氧化气体在气体中所占的比例应允许在等离子体内有最高的氧原子团浓度。等离子体由一优选为电感式耦合的高频发生器产生。其中,频率大于约1MHz,优选地大于3MHz,由此防止离子加热。因为用高频发生器产生频率,所以频率不处于微波范围。此外,与高频发生器电感式耦合相结合还可以防止离子以超过50eV的能量撞击构件。当等离子体发生器的频率低于3MHz时,认为能量学的离子会造成构件表面材料的溅射(Sputtern)。通过氧原子团去除有机污垢认为是由原子团与有机的表面污垢可能存在的单纯的相互作用引起的。在室温下的去除速度在10与100nm/minute之间。因为有机污垢在构件上的特征厚度为10nm的数量级,所以在含氧化气体的气态等离子体内的净化时间约为1分钟。气体通过真空系统的流速优选为在每m2被处理表面100至10000sccm的大约范围内,但特别优选地换算到标准条件为大于每m2被处理表面每分钟1升(1000sccm),由此保证快速去除反应产物。在氧等离子处理期间,在构件表面上形成一个氧化层(图2c)。
在铜或镍或其合金的表面上薄的氧化膜最好通过引入一种气态的由纯氢气或氢气与一种惰性气体优选氩气组成的混合气体形成的等离子体来还原成纯金属。在气态的含氢的等离子体内氢原子团形成的速度取决于放电源的功率。此功率优选地界于每升放电容积30与1000W之间,以保证形成一种可以说均匀的处于压强范围10与5000Pa之间的等离子体。所述气体可以是一种由氩气和氢气组成的混合气体,氢气在气体中所占的比例应允许在等离子体内氢原子团有最高的浓度。含氢的等离子体优选地通过与含氧的等离子体相同的发生器和在相同的真空系统中造成。但作为替换方案也可以通过直流辉光放电产生氢原子团。试件可以通过附加的直流电压被施以朝向放电室壁的负偏压。通过氢原子团还原氧化的污垢认为是由原子团与表面污垢可能存在的单纯的相互作用引起的。室温下的还原速度在1与10nm/minute之间。因为氧化层在构件上的特征厚度为10nm的数量级,所以在含氧化气体的气态等离子体内的净化时间为几分钟。气体通过真空系统的流速优选地界于一个每m2被处理表面100至10000sccm的大约范围内,但特别优选地换算到标准条件下大于每m2被处理表面每分钟1升,由此保证快速去除反应产物。在氢等离子处理期间氧化层完全被还原。许多其他的氧化污垢包括氯化物和硫化物同样也被还原。因此,氢等离子处理保证了一种实际上原子纯的表面(图2d)。
因此净化过程包括用氧原子团处理后用氢原子团处理。如果有机污垢的量小,可以只采用氢原子团处理。认为氢原子团也与有机污垢反应,不过反应速度比在氧原子团的情况下低。
图2a举例表示一种未经处理的铜表面。此表面被在机械加工期间留下的各种污垢污染。在薄的试件表面层内的污垢类型和浓度通过绘制AES深度断面图在真空室内基本压强小于1.3×10-7Pa的PHI545扫描-俄歇-微型探测器(PHI545-Scanning-Auger-Mikrosonde)中确定。采用一种静态的一次电子束,它的能量为3keV、电流为3.5μA和电子束直径约为40μm。电子束相对于表面平面的垂线的入射角为47度。试件使用两个对称倾斜具有动能为1keV的Ar+离子束溅射,由此保证试件的酸洗。溅射时间对应于深度,也就是1分钟相当于4nm。原子浓度根据俄歇峰对峰高度(Auger-Spitze-zu-Spitze-Hohen)通过使用元素相对灵敏度系数SCu=0.22、SC=0.18、So=0.50、Ss=0.80和SC1=1.05而作为溅射时间的函数来量化表示。
在图2b中表示经湿式化学净化后的试件深度断面。试件用四氯乙烯净化,然后仔细地用蒸馏水淋洗。可以发现,碳膜的厚度减小了,但仍旧有一些碳继续存在于上部薄的表面层内。污垢膜的厚度从未经净化的试件上平均被减小三倍以上。
在图2c中表示遭遇每平方米约7×1024个原子团的氧等离子体后试件的AES深度断面。此试件除最外部的表面估计由于受二次污染外,几乎没有碳膜(有机污垢)。在表面上形成氧化膜。氧等离子体的活性粒子明显地与有机污垢层反应并将它们完全去除。不过在通过氧等离子体可以说是短时的作用期间形成了一层所不希望的氧化层。
首先遭遇过氧等离子体的试件,随后遭遇每平方米约2×1025个原子团的氢等离子体。图2d表示处理后的AES深度断面。除了氧、碳和硫极低的浓度以及估计是在AES分析前由于空气的作用引起的二次污垢外,在表面上几乎没有任何污垢。
电阻的测量在一系列十个试件上实施,以及测量了通过不同方法净化的铜构件的平均电阻。用湿式化学过程净化的铜构件试件的电阻下降约16%。但用由氧等离子体与氢等离子体组合净化的铜试件的电阻得到改善,因为电阻下降了约28%。净化铜表面的最有效方法是一种组合的氧-氢等离子体处理,这种组合的处理方法导致一种没有表面污垢膜的实际上无污染的表面,以及导致达两倍的被良好改善的电导率。这通过AES深度断面(图2a、图2b、图2c、图2d)以及电阻的测量已经证实。

Claims (10)

1.一种处理电子构件的方法,这些构件用铜或镍或它们相互之间或与其他材料构成的合金例如黄铜制成或用它们包覆,所述方法包括下列步骤:
将所述构件安置在一处理室内;
将所述处理室抽成真空;
将氧气导入到所述处理室内;
确保所述处理室内压强在10-1至50mbar范围内以及借助频率高于约1MHz的高频发生器在该处理室内激发等离子体;
使氧原子团作用到所述构件上,此时流到构件表面上的原子团流量大于每秒每平方米约1021个原子团;
对所述处理室抽气;
将氢气导入到所述处理室内;
确保所述处理室内的压强在10-1至50mbar范围内以及借助频率高于约1MHz的高频发生器在该处理室内激发等离子体;
使氢原子团作用到所述构件上,此时流到构件表面上的原子团流量大于每秒每平方米约1021个原子团。
2.按照权利要求1所述的方法,其中,所述氧气用一种惰性气体和氧气的混合气体来代替。
3.按照权利要求1所述的方法,其中,所述氧气用一种惰性气体与水蒸气的混合物来代替。
4.按照权利要求1所述的方法,其中,所述氢气用一种惰性气体与氢气的混合物来代替。
5.按照权利要求1所述的方法,其中,所述等离子体通过为每升放电容积提供约30至约1000W功率密度来激发。
6.按照权利要求1所述的方法,其中,在等离子体处理步骤中,使气体以每m2处理表面约100至约10000sccm的速度流过所述处理室。
7.按照权利要求1所述的方法,其中,所述高频发生器是电感式耦合的。
8.按照权利要求1所述的方法,其中,通过附加地供给直流电对所述构件施以负偏压。
9.按照权利要求1所述的方法,其中,所述氢原子团在直流辉光放电时产生。
10.一种电子构件的处理,这些构件用铜或镍或它们相互之间的合金或与其他材料例如黄铜一起制成或用它们包覆,首先包括至少一种按照权利要求1所述的处理,以及接下来将另一种材料粘接、钎焊或熔焊到所述电子构件经如此处理后的表面上。
CNB200480010539XA 2003-05-08 2004-05-07 净化铜或镍的等离子体处理 Expired - Fee Related CN100393914C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10320472.5 2003-05-08
DE10320472A DE10320472A1 (de) 2003-05-08 2003-05-08 Plasmabehandlung zur Reinigung von Kupfer oder Nickel

Publications (2)

Publication Number Publication Date
CN1777702A true CN1777702A (zh) 2006-05-24
CN100393914C CN100393914C (zh) 2008-06-11

Family

ID=33394282

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB200480010539XA Expired - Fee Related CN100393914C (zh) 2003-05-08 2004-05-07 净化铜或镍的等离子体处理

Country Status (9)

Country Link
US (1) US20060054184A1 (zh)
EP (1) EP1620581B1 (zh)
JP (1) JP2006525426A (zh)
KR (1) KR20050121273A (zh)
CN (1) CN100393914C (zh)
AT (1) ATE358735T1 (zh)
DE (2) DE10320472A1 (zh)
MX (1) MXPA05011822A (zh)
WO (1) WO2004098259A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105177527A (zh) * 2014-03-26 2015-12-23 超科技公司 采用臭氧等离子体的氧自由基增强的原子层沉积

Families Citing this family (145)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8272122B2 (en) * 2004-06-09 2012-09-25 Mill Masters, Inc. Tube mill with in-line braze coating process
DE102004058452A1 (de) * 2004-12-03 2006-06-08 Vacuumschmelze Gmbh & Co. Kg Stromerfassungseinrichtung und Verfahren zum Herstellen einer solchen Stromerfassungseinrichtung
SK51082006A3 (sk) * 2006-12-05 2008-07-07 Fakulta Matematiky, Fyziky A Informatiky Univerzitfakulta Matematiky, Fyziky A Informatiky Univerzity Komensk�Hoy Komensk�Ho Zariadenie a spôsob úpravy povrchov kovov a metaloZariadenie a spôsob úpravy povrchov kovov a metaloidov, oxidov kovov a oxidov metaloidov a nitridovidov, oxidov kovov a oxidov metaloidov a nitridovkovov a nitridov metaloidovkovov a nitridov metaloidov
DE102008002079A1 (de) * 2008-02-20 2009-08-27 Baumüller Nürnberg GmbH Entfernen von Oxidschichten von einer Metalloberfläche insbesondere beim Abisolieren von lackisolierten Kupferdrähten
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
SI23611A (sl) * 2011-01-20 2012-07-31 Institut@@quot@JoĹľef@Stefan@quot Metoda in naprava za vzbujanje visokofrekvenčne plinske plazme
JP2014099246A (ja) * 2011-03-01 2014-05-29 Panasonic Corp プラズマ処理装置及びプラズマ処理方法
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9114438B2 (en) * 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN114664656A (zh) 2020-05-22 2022-06-24 北京屹唐半导体科技股份有限公司 使用臭氧气体和氢自由基的工件加工

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5669382A (en) * 1979-11-08 1981-06-10 Toshiba Corp Surface treatment by plasma
JPS62158859A (ja) * 1986-01-07 1987-07-14 Sumitomo Electric Ind Ltd 前処理方法
DE4034842A1 (de) * 1990-11-02 1992-05-07 Thyssen Edelstahlwerke Ag Verfahren zur plasmachemischen reinigung fuer eine anschliessende pvd oder pecvd beschichtung
DE4228551C2 (de) * 1992-08-27 1996-02-22 Linde Ag Verfahren und Anwendung des Verfahrens zur reinigenden Behandlung von Oberflächen mit einem Niederdruckplasma
US5938854A (en) * 1993-05-28 1999-08-17 The University Of Tennessee Research Corporation Method and apparatus for cleaning surfaces with a glow discharge plasma at one atmosphere of pressure
JPH0786242A (ja) * 1993-09-10 1995-03-31 Fujitsu Ltd 半導体装置の製造方法
US5882423A (en) * 1994-02-03 1999-03-16 Harris Corporation Plasma cleaning method for improved ink brand permanency on IC packages
DE4414263C2 (de) * 1994-04-23 2000-07-06 Fraunhofer Ges Forschung Verfahren und Verdampfer zur plasmachemischen Reinigung von Substraten
GB9600210D0 (en) * 1996-01-05 1996-03-06 Vanderstraeten E Bvba Improved sputtering targets and method for the preparation thereof
US6033582A (en) * 1996-01-22 2000-03-07 Etex Corporation Surface modification of medical implants
JPH09307219A (ja) * 1996-05-14 1997-11-28 Tamura Seisakusho Co Ltd はんだ付け用処理方法
US6243112B1 (en) * 1996-07-01 2001-06-05 Xerox Corporation High density remote plasma deposited fluoropolymer films
DE19644153A1 (de) * 1996-10-24 1998-04-30 Roland Dr Gesche Mehrstufiges Verfahren zum Plasmareinigen
DE19702124A1 (de) * 1997-01-22 1998-07-23 Linde Ag Verfahren und Vorrichtung zum Reinigen, Aktivieren Benetzen und/oder Beschichten der Oberflächen von Werkstücken
DE19717698A1 (de) * 1997-04-26 1998-10-29 Fraunhofer Ges Forschung Verfahren und Vorrichtung zur Reinigung von Aktivierung von elektrischen Leiterbahnen und Platinenoberflächen
US6107192A (en) * 1997-12-30 2000-08-22 Applied Materials, Inc. Reactive preclean prior to metallization for sub-quarter micron application
JP2000040881A (ja) * 1998-07-23 2000-02-08 Matsushita Electric Ind Co Ltd 多層基板のスミア除去装置およびスミア除去方法
JP4239310B2 (ja) * 1998-09-01 2009-03-18 ソニー株式会社 半導体装置の製造方法
US20010049181A1 (en) * 1998-11-17 2001-12-06 Sudha Rathi Plasma treatment for cooper oxide reduction
DE19903243A1 (de) * 1999-01-28 2000-08-03 Linde Tech Gase Gmbh Kombinierte Reinigung und Niederdruckplasmabehandlung
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US6352081B1 (en) * 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
EP1073091A3 (en) * 1999-07-27 2004-10-06 Matsushita Electric Works, Ltd. Electrode for plasma generation, plasma treatment apparatus using the electrode, and plasma treatment with the apparatus
US6395642B1 (en) * 1999-12-28 2002-05-28 Taiwan Semiconductor Manufacturing Company Method to improve copper process integration
US6309957B1 (en) * 2000-04-03 2001-10-30 Taiwan Semiconductor Maufacturing Company Method of low-K/copper dual damascene
US6323121B1 (en) * 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
JP2002110679A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
US6967173B2 (en) * 2000-11-15 2005-11-22 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup processs for low dielectric constant materials
US6693020B2 (en) * 2001-03-12 2004-02-17 Motorola, Inc. Method of preparing copper metallization die for wirebonding
TWI243404B (en) * 2001-05-24 2005-11-11 Lam Res Corp Applications of oxide hardmasking in metal dry etch processors
US6579730B2 (en) * 2001-07-18 2003-06-17 Applied Materials, Inc. Monitoring process for oxide removal
US6908865B2 (en) * 2001-09-28 2005-06-21 Applied Materials, Inc. Method and apparatus for cleaning substrates
US20040018715A1 (en) * 2002-07-25 2004-01-29 Applied Materials, Inc. Method of cleaning a surface of a material layer
US6886573B2 (en) * 2002-09-06 2005-05-03 Air Products And Chemicals, Inc. Plasma cleaning gas with lower global warming potential than SF6

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105177527A (zh) * 2014-03-26 2015-12-23 超科技公司 采用臭氧等离子体的氧自由基增强的原子层沉积

Also Published As

Publication number Publication date
ATE358735T1 (de) 2007-04-15
WO2004098259A3 (de) 2005-02-24
WO2004098259A2 (de) 2004-11-18
DE10320472A1 (de) 2004-12-02
EP1620581A2 (de) 2006-02-01
EP1620581B1 (de) 2007-04-04
DE502004003406D1 (de) 2007-05-16
CN100393914C (zh) 2008-06-11
JP2006525426A (ja) 2006-11-09
MXPA05011822A (es) 2006-02-17
US20060054184A1 (en) 2006-03-16
KR20050121273A (ko) 2005-12-26

Similar Documents

Publication Publication Date Title
CN100393914C (zh) 净化铜或镍的等离子体处理
US6783863B2 (en) Plasma processing container internal member and production method thereof
JP4327177B2 (ja) 耐食性溶射皮膜および溶射皮膜の封孔被覆方法
Wang et al. Aluminum nitride and alumina composite film fabricated by DC plasma processes
JP4563966B2 (ja) 半導体加工装置用部材およびその製造方法
WO2007108546A1 (ja) 半導体加工装置用セラミック被覆部材
EP2718481A1 (de) Entschichtungsverfahren für harte kohlenstoffschichten
CN1778990A (zh) 提高金属焊接性能的表面处理方法及用该方法处理的工件
JP5521184B2 (ja) フッ化物溶射皮膜被覆部材の製造方法
JP4728306B2 (ja) 静電チャック部材およびその製造方法
JP4903104B2 (ja) 半導体加工装置用部材
JP5286528B2 (ja) 半導体加工装置用部材の製造方法
JP2006052435A (ja) 半導体加工装置用部材及びその製造方法
US6562289B1 (en) Method for improving the corrosion protection of permanent magnets containing rare earth metals
CN109022792B (zh) 待处理靶材的处理方法
JP5614873B2 (ja) 半導体加工装置用部材およびその製造方法
RU2052540C1 (ru) Способ нанесения пленочного покрытия
Thangaraj et al. Corrosion studies of DC reactive magnetron sputtered alumina coating on 304 SS
AT504466A1 (de) Verfahren und vorrichtung zur entfettung von gegenständen oder materialien mittels oxidativer radikale
CN1354279A (zh) 一种耐腐蚀性能优良的化学处理钢板
CN113891960B (zh) 耐蚀性构件
KR101972455B1 (ko) 친환경적인 제조공정을 갖는 비드와이어 및 이의 제조방법
Saito et al. Effect of pressure on surface roughness treated by cathode spots of low pressure arc
Borisov et al. Plasma-ion nitriding of alloy steel with the use of a low-pressure arc plasma generator
JP2004356247A (ja) 耐ハロゲンガス用金属部材およびその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080611