CN1674226A - 执行掩模图案的透射调节以改善处理宽容度的方法 - Google Patents

执行掩模图案的透射调节以改善处理宽容度的方法 Download PDF

Info

Publication number
CN1674226A
CN1674226A CNA2004101032735A CN200410103273A CN1674226A CN 1674226 A CN1674226 A CN 1674226A CN A2004101032735 A CNA2004101032735 A CN A2004101032735A CN 200410103273 A CN200410103273 A CN 200410103273A CN 1674226 A CN1674226 A CN 1674226A
Authority
CN
China
Prior art keywords
spacing
mask
feature
transmissivity
nils
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2004101032735A
Other languages
English (en)
Inventor
S·D·苏
J·F·陈
X·施
D·范登布罗克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML FRISKET TOOLS BV
ASML MaskTools Netherlands BV
Original Assignee
ASML FRISKET TOOLS BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML FRISKET TOOLS BV filed Critical ASML FRISKET TOOLS BV
Publication of CN1674226A publication Critical patent/CN1674226A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

一种用在光刻工艺中的产生掩模的方法。该方法包括确定具有多个将被成像的特征的目标掩模图案和用于成像掩模的照明系统;识别在目标图案中的临界间距并为了成像临界间距而优化照明系统的照明设置;识别目标图案的禁用间距;并且修正具有等于或基本上等于禁用间距的间距的特征的透射率以致于等于或基本上等于禁用间距的特征的曝光宽容度增加。

Description

执行掩模图案的 透射调节以改善处理宽容度的方法
技术领域
本发明涉及一种用于调节将形成在基片表面上的目标掩模图案中的特征的透射率以优化整个处理宽容度(process latitude)以及将涉及目标图案中的“禁用间距(forbidden pitch)”的问题最小化的光刻方法。
优先权
本发明要求美国的临时申请号为60/517,082,于2003年11月5日申请的名称为“禁用间距的透射调节”的申请的优先权。
背景技术
光刻装置可用于,例如,集成电路(IC)的制造中。在这种情况下,掩模可以包括相应于IC的每一层的电路图案,并且该图案可以成像到已经涂覆有辐射敏感材料(抗蚀剂)层的基片(硅晶片)上的目标部分(例如,含有一个或更多的管芯)上。一般地,单个晶片包括一次一个的、依次由投影系统照射的相邻的目标部分的整个网络。在一种类型的光刻投影装置中,通过一口气将整个掩模图案曝光在目标部分上面来照射每个目标部分;这种装置一般被称作晶片步进机。在一种可供选择的装置中,一般称作步进扫描装置——通过以给定基准方向(“扫描“方向)在投射光束下逐渐扫描掩模图案来照射每个目标部位,同时与该方向平行或反向平行地同步扫描基片台;由于,一般地,投影系统具有放大倍数M(通常<1),因而基片台的扫描速率V是M倍于掩模台的扫描速率。例如,从US6,046,792中可收集到更多的关于这里描述的光刻装置的信息,该专利作为参考引入这里。
在使用光刻投影装置的制造过程中,掩模图案成像在至少部分地覆盖着感辐射材料(抗蚀剂)层的基片上。在该成像步骤之前,基片可以经历各种各样的工序,例如涂底漆,涂覆抗蚀剂和软烘焙。曝光后,基片可以经历其它工序,例如曝光后烘烤(PEB),显影,硬烘焙以及成像特征的测量/检验。该系列的工序作为图案化器件例如IC的每一层的基础。这种构图后的层然后可以经历各种过程如蚀刻,离子注入(掺杂),金属化,氧化,化学机械抛光等等完成一单层所需的所有处理。如果需要多层,那么整个步骤,或者它们的变化,将重复用于每个新层。最后,在基片(晶片)上将出现器件阵列。然后通过例如切或锯的技术将这些器件彼此分离开,单个器件可以安装在载体上,与管脚等连接。可以获得关于这些步骤的更多信息,例如从1997年Peter van Zant,McGraw Hill出版公司出版的书“微型芯片制造:半导体加工实践入门(MicrochipFabrication:A Practical Guide to Semiconductor Processing)”,第三版中,ISBN0-07-067250-4,再此结合作为参考。
为了简单,投影系统在下文中可以称作“透镜”;然而,该术语应该是广义地解释为包含各种类型的投影系统,包括例如折射光学器件,反射光学器件,以及反射折射光学器件的系统。辐射系统还包括根据这些设计类型中任一设计的操作部件,该操作部件用于定向、整形或控制辐射投影束,并且这样的部件在下面也可以共同地或单独地称作“透镜”。而且,该光刻装置可能是具有两个或更多的基片台(和/或两个或更多的掩模台)的类型。在这种“多级”装置中,可以并行使用辅助台,或可在一个或更多台上实现预备步骤,同时一个或更多其它台用于曝光。例如,在US5,969,441和WO98/40791中描述的双级光刻装置,它们作为参考引入这里。
上述光刻掩模包括相应于要被集成在硅晶片上的电路元件的几何图案。用于生成该掩模的图案利用CAD(计算机辅助设计)程序来产生,该过程常常被称作EDA(电子设计自动化)。大部分CAD程序遵循一组预定设计规则以制作功能掩模。这些规则由处理和设计限制来设定。例如,设计规则限定电路器件(如栅极,电容器,等等)或互连线之间的间隔公差,以使得确保这些电路器件或线不会以不希望的方式互相影响。这种设计规则限制一般称作“临界尺寸”(CD)。电路的临界尺寸可限定为线的最小宽度或两线之间的最小间隔。因此,CD决定设计电路的整体尺寸和密度。
当然,集成电路制造的目的之一是为了如实地在晶片上再现原始电路设计(通过掩模)。另一个目的是为了尽可能多地使用半导体晶片的实际面积(realestate)。还有另一个目的是优化亮度和增强晶片上图像的对比度。还有另一个目的是增加聚焦深度(DOF)和曝光宽容度(EL)。
然而,对低k1光刻技术,由相邻结构感兴趣特征之间的相互作用导致的光学邻近效应变得更加严重。在给定的NA设定中,这种结构相互作用不仅仅影响临界尺寸,也影响特征的曝光宽容度。以前的研究表明在所谓的“禁用间距”(即,对于给定过程而言呈现差图像对比度的间距),曝光宽容度非常差。对于足够大的而允许辅助特征如散射带(scattering bars)插入的间距,曝光宽容度能得到改善。然而,在呈现出差成像性能的间距中,没有足够的间隔插入这种辅助特征,整个间距处理宽容度被这种命名为“禁用间距”所限制。
因此,存在对补偿或改善禁用间距处的处理宽容度的需要,所述禁用间距处于不允许辅助特征包括于掩模设计中的范围内。
发明内容
鉴于上述内容,本发明涉及一种用于修正目标掩模图案以致于在实际掩模设计中不再需要避免使用“禁用间距”的工艺/方法。本发明提供一种用于修正掩模设计以致于在禁用间距处的曝光宽容度增加从而导致贯穿间距的整个处理宽容度优化的方法。
更具体地说,本发明涉及一种产生用于光刻工艺中的掩模的方法。该方法包括这些步骤:确具有具有多个要被成像的特征的目标掩模图案和要被用于成像该掩模的照明系统;识别目标图案内的临界间距并优化成像临界间距的照明系统的照明设置;识别目标图案内的禁用间距;以及修正间距等于或基本上等于禁用间距的特征的透射率,以致于等于或基本上等于禁用间距的特征的曝光宽容度增加。
本发明提供了超过现有技术工艺的显著优势。最重要地,本发明不需要避免使用在目标掩模图案内的“禁用间距”,因此提供了成像系统的整个处理宽容度的增加。确实,本发明的方法对给定照明系统和设定起到优化禁用间距处的图像性能的作用,从而改善成像过程的整个处理宽容度。
参考附图,从下面的对本发明的详细描述中,本发明的上述和其它特征、方面和优点会更加显而易见。
附图说明
图1说明一实施例线:间隔图案和相应振幅响应。
图2(a)-(j)说明被投影光瞳捕获的衍射级是如何根据掩模特征透射百分比的改变而改变的。
图3说明对于0.8NA KrF,QUASARTM,σout=0.92,σin=0.72以及θ=30度,间距为220nm的80nm线获得的空间图像强度。
图4(a)说明在给定实施例中被光瞳捕获的第0和第+/-1衍射级的基准能量。
图4(b)说明在给定实施例的各种散焦情况下,NILS与掩模透射率的曲线关系图。
图5(a)说明对具有80nm目标CD的掩模设计,CPL和6%Att-PSM的空间像的比较。
图5(b)说明如何将负偏移施加到图4(a)实施例的特征上能改善成像。
图6(a)说明相应于具有间距为240nm的90nm线、和35%透射率的掩模特征的投影光瞳。
图6(b)说明对图6(a)的实施例在第0和第+/-1衍射级的所捕获的总能量。
图7(a)和图7(b)说明改变掩模特征的透射百分比对曝光宽容度(EL)与聚焦深度(DOF)的曲线关系影响。
图8说明对间距为220nm的90nm目标CD的特定实施例,模拟图像MEEF作为在1X的分划板CD的函数。
图9说明对给定的实施例而言,整个尺寸的模拟CD的特性作为掩模强度透射的函数。
图10是由实施本发明的一个代表性方法设定的流程图。
图11是说明给定的实施例的贯穿间距的NILS的曲线图。
图12(a)和图12(b)分别说明对240nm临界间距和390nm禁用间距的整个透射率的NILS曲线图。
图13(a)和图13(b)分别说明了对给定实施例,曝光宽容度与散焦曲线以及重叠处理窗口的曲线关系的曲线图。
图14是对代表性的高NA,ArF系统整个间距的NILS的曲线图。
图15(a)和图15(b)分别说明对给定的实施例,对130nm临界间距和200nm禁用间距,整个透射率的NILS的曲线图。
图16(a)和图16(b)分别说明了对给定实施例,曝光宽容度与散焦的曲线图以及重叠处理窗口的曲线关系的曲线图。
图17(a)-17(c)说明调节掩模特征的透射率的代表性方法。
图18(a)是对给定实施例整个间距的NILS的曲线图。
图18(b)说明图18(a)的实施例的曝光宽容度与散焦曲线的曲线关系图。
图19(a)-19(f)说明证实本发明获得的处理宽容度改进的实验结果。
图20(a)说明没有使用散射带的450nm间距的曝光剂量宽容度。
图20(b)说明用含有50nm中心散射带的修正掩模,用相同的处理的曝光剂量宽容度。
图21说明对于各种掩模透射率整个间距的MEEF曲线图。
图22是将本发明的过程结合进实际设计过程的代表性过程的流程图。
图23是说明SRAM版面的实施例,以及在设计的不同部分上如何操作本发明的透射调节。
图24(a)说明被选来验证光刻性能的三割线,图24(b)是对于这些三割线的重叠处理窗口在10%曝光宽容度是0.75μm。
图25用示意性描述了一种适用于借助本发明设计的掩模的光刻投影装置。
具体实施方式
在详细讨论本发明的方法之前,本发明的方法用于调整包含在目标图案中的各种间距的掩模特征的透射率,以致于优化给定掩模的处理宽容度和处理,先论述涉及本发明方法的各种技术原理的概述。
众所周知,掩模特征的透射值(也就是,掩模特征允许通过到正被成像的基片的光的百分比)影响决定在基片的空间图像强度的主要的衍射级。传统地,例如,与衰减相移掩模(Att-PAM)有关的百分比值涉及衰减层的强度透射率。对于相干照明,强度透射率函数T(x)涉及电场的振幅透射率函数t(x),并且可以表示为合成值:
t ( x ) = T ( x ) · exp ( jφ ( x ) ) - - - ( 1 )
其中φ(x)是由Att-PSM的衰减材料或由CPL的石英蚀刻步骤引入的相移。
当相移器引入相对于掩模背景的180度相移时,振幅透射率函数等于强度透射率函数的负平方根, t ( x ) = - T ( x ) .
例如,参照图1,考虑到具有线宽“w”、间距“p”、振幅透射率“t(x)”、以及180度相移的在透明场掩模上的无限光栅(infinite grating),掩模透射率m(x)能用公式(2)表达:
m ( x ) = 1 p rect ( x s ) ⊗ comb ( x p ) - T 1 P rect ( x - p 2 s ) ⊗ comb ( x p ) , p = s + w
其中p=间距,s=间隔宽度,以及w=线宽度。
从傅里叶光学中,由掩模传输的电场形成在光瞳平面的分布,其与掩模光谱是成比例的。点光源区域由公式(3)给定且强度由公式(4)给定:
E(x)=F-[P(fx)F(t(x)]                          (3)
I(x)=E(x)E*(x)                                  (4)
其中t(x)是振幅透射率函数,F(t(x))是直接对电场起作用的掩模光谱。F是傅立叶变换运算符,F-是反变换运算符,P是光瞳函数,fx是光瞳频率坐标,E是电场,而I是在像面的强度。带入公式(2)的傅立叶变换,得到的结果是:
F { m ( x ) } = 1 p { [ ( s sin ( π k x S ) π k x S ) ] - [ b - sin ( π k x w ) π k x w * exp ( - πj k x p ) ] } * Σ - ∞ ∞ δ ( k x - n p ) - - - - ( 5 )
p sin(θ)=nλ,kx=sin(θ)
第0级, n = 0 , k x = 0 ⇒ f 0 ( k x ) = 1 p ( s - w T ) = 1 p [ p - w ( 1 + T ) ] - - - ( 6 )
±1級, n = 1 , k x = 1 p ⇒ F 1 ( k x ) = 1 π [ sin ( πs p ) + T sin ( πw p ) ] = 1 π sin ( πw p ) ( 1 + T ) - - - ( 7 )
公式(6)说明当掩模强度透射率增加第0级振幅减小。公式(7)说明当掩模强度透射率增加第1级振幅增加。这样,很明显修正的掩模透射率改变衍射级的振幅,并由此对图像质量有明显影响。这里要注意对于透射率100%且为1∶1的线与间隔比,第0级成分不存在。
对光亮强度二元掩模(BIM),CPL掩模,6%Att-PSM掩模,以及交替的相移掩模(Alt-PSM)的掩模光谱的比较在表1中简要描述。
表1
从表1可以清楚的知道,通过改变掩模特征的透射百分比,可能改变/控制被成像系统的投影光瞳捕获的衍射级的振幅。这样,因为所捕获的衍射级的振幅影响图像质量,使得通过控制掩模特征的透射百分比来调节/改善图像质量变成可能。
图2(a)-2(j)说明被投影光瞳捕获的衍射级如何根据掩模特征透射百分比的改变而改变,特别地,使用KrF以QUASARTM照射,σ0=0.92,σi=0.72,并且极角θ=30度,0.8NA的曝光手段的处理的模拟结果。更具体地,图2(a)-2(e)示出了振幅与衍射级的曲线关系图,其分别表示对于0%,6%,25%,35%和100%的掩模透射值、220nm间距80nm线的代表性的掩模特征的傅立叶变换。图2(f)-2(j)分别表示对于透射率为0%,6%,25%,35%和100%的相同线/间隔图案,由投影光瞳捕获的2维重叠的衍射级。由此可知,公式(6)和(7)预示了随着掩模透射增加,第±1级的振幅增加而第0级振幅减小。由图2(a)-2(j)显示的模拟结果证实了该结论。
假定矢量非偏振光运用于上述实施例中,图3说明了对于图2(a)-2(j)所阐明的每个透射值在像面的相应空间图像强度。图3也说明了利用具有35%透射率的掩模特征,产生最高的标准化的图像对数斜率(normalized image logslope,NILS),因此有最好的成像对比度。对于各种透射率的NILS的计算结果简述在表2中。表示35%的掩模透射率产生最高的NILS值。应注意,对本领域技术人员而言计算NILS的方法是公知的,因此这里就不再进一步说明。
    强度透射率     NILS
    二进制     0.67
    6%     0.91
    25%     1.14
    35%     12
    100%     1.15
表2
图2(a)-2(j)也说明了当尝试分辨更小的间距时,不是所有的第1衍射级都能被投影光瞳捕获,因此,不是所有的第一级光对图像的形成有用。明显的,掩模透射百分比的变化改变第0和第1衍射级振幅之间的比,因此,影响这两个衍射级之间的干涉。为了合适地计算出产生最大干涉的第±1的部分,由衍射级传输的能量需要计算出来。图4a表明对于35%的透射率,由投影光瞳捕获的第±1级的和等于第0级的总数。此外,已确定在投影光瞳捕获的第±1级等于第0级的总数的这种情况,提供了在投影光瞳中的最平衡的强度分布,这导致给定间距和照明设置的最优化的透射和图像对比度。应注意在图4(a)说明的计算是基于与上述论述同样的实施例(也就是,在220nm间距80nm线,与图3使用的相同的照射装置)。
为了努力证实前述220nm间距80nm线的实施例用指定的照明设置时、使用具有35%透射率的掩模特征是最佳透射,使用矢量非偏振的模拟设置利用Prolith v7.1进行附加模拟实验。由上述可知,NILS直接关系到图形对比度,NILS越高,图像对比度度越好,这直接转换为改善的曝光宽容度。图4b说明了附加模拟实验的结果。参考图4b,该结果证实了掩模特征35%的透射率通过聚焦提供了最高的NILS。由此可知,对于实验下的每个散焦情况,在35%透射率处出现最大NILS。
图5(a)说明对于掩模上80nmCD和220nm间距线在CPL和6%Att-PSM之间的空间图像的对比。如图所示,CPL(100%透射率)提供了更低的最小强度。图5(b)说明对于CPL掩模,负向地将掩模线宽偏移至75nm进一步改善图像像清晰度且产生更高的NILS。该负偏移起作用以增加对于密集地CPL特征产生更好的对比度的第0级
上述表明通过改变掩模特征的透射百分比,可能优化对于特定的线得到的图像对比度:在给定照明条件下的间隔图案。但是,通过控制掩模特征的透射百分比可能优化处理宽容度。为了说明该原理,计算下列六个透射百分比:0%,6%,25%,35%和100%的聚焦曝光矩阵(FEM)。和前述实施例所设定的相同的KrF 0.8NA和QUASARTM照射条件被再次使用。该照明为240nm间距优化,如图6(a)所示,并且再次,使用35%透射率,如图6(b)所示获得相同的最佳第±1对第0级比值。
图7(a)和7(b)说明改变掩模特征的透射百分比对曝光宽容度(EL)与聚焦深度(DOF)的曲线关系的影响。具体地说,图7(a)说明对于0%,6%,25%,35%和100%透射率的FEM矩阵,图7(b)说明相应的处理窗口。回顾图7(a)和7(b)后,很显然35%的透射率提供了最佳曝光剂量宽容度。更明确地,参照图7(a),对于在晶片上的90nm的印刷的CD,35%的透射率导致在16%曝光宽容度的0.6微米DOF。图7(b)说明当透射百分比增加,最小强度变得更低(也参见图3)。同样,对于同样的目标CD,需要增加剂量。随着透射率的增加而增加剂量的趋势在图7(b)中清晰的表示出来。这里要注意0%透射(即,Cr)显示了最高的最小强度级。同样,为了得到同样印刷的CD,0%透射的情况需要使用最高的印刷阈值和最低的曝光能量。
接下来,描述如何利用掩模特征的透射调节来影响掩模误差系数(MEF)。掩模误差系数(MEF)或掩模误差增强系数(MEEF)定义为抗蚀剂特征尺寸变化对与曝光工具放大倍数缩放的掩模特征尺寸变化的比值,并且给定为:
MEEF = ∂ CD ∂ ReticleCD / M - - - - ( 8 )
其中M是曝光工具缩减系数。光刻方法变量如聚焦,透镜像差,线宽,掩模色调(mask tone),光学邻近修正(OPC),抗蚀剂对比度以及抗蚀剂厚度,其它因素,所有这些对MEEF系数都有影响。
如上述解释,对于给定的CD(即,线宽)和间距,改变掩模的透射率或偏移可以影响图像质量。当图像对比度改变时,印刷轮廓也改变。反过来,这影响MEEF。图8说明使用与上述实施例相同的照明设置(即,使用KrF,NA=0.8,σout=0.92,σin=0.72,并且角=30度QUASARTM照射)模拟图像MEEF作为间距为220nm的90nm目标CD用1X分划板CD的函数。典型地,成像对比度越高,MEEF越低。参照图8,图中示出80nmCPL(100%透射率,π-相)特征比相应的6%Att-PSM特征具有更好的图像对比度。在相同条件下,CPL(100%透射率)的MEEF对80nm的印刷抗蚀剂CD是2,而对于6%的MEEF大于10。当掩模透射率增到25%以上,需要负偏移以达到最低MEEF。随着透射率增加,相应于MEEF最小值的特征CD减小。对于晶片上90nm的印刷CD目标,CPL需要15nm负偏移以提供最低的MEEF。同样,很明显MEEF是特征偏移和掩模透射率的强函数,其理解为特征偏移和掩模透射率都改变第0和第±1衍射级的振幅以及被光瞳捕获的能量的比值。
透射调节也影响掩模CD(临界尺寸)的线性。图9说明使用上述实施例的相同的设置,整个尺寸模拟CD的特性为掩模强度透射的函数,在220nm间距的晶片上的目标CD为90nm。图9说明对于小于70nm的CD,当CPL显示最好的分辨和线性特性时,使用CPL(即,100%透射率,π-相)以形成掩模特征是最好的方法。当目标CD达到80nm或更大时,光开始从区域1中形成目标线的两相边缘漏出,结果,给定实施例中的CPL不适于大于80nm的CD。再参照图9,对于范围在75nm和95nm之间的目标CD,从35%到40%的透射率提供最好的线性特性。很明显给定特征的透射百分比能由特征的半色调控制。这要求,例如,通过用许多彼此间隔的铬片形成线特征,但是当成像时,产生目标线。透射百分比能通过控制铬片的尺寸和铬片之间的间隔来改变。前述的“半色调”技术在于2003年9月11日申请的USP申请Ser.No.10/659,715中有详细描述,这里将其完整引入。回到图9,对于大于95nm的目标CD,0%透射率特征(例如,固体铬特征)提供了最好的线性特性。应注意包括能采用100%透射率的目标CD的区域称作区域1,包括能采用半色调的目标CD的区域称作区域2,以及包括能采用0%透射率的目标CD的区域称作区域3。
众所周知,随着k1变得越小,由感兴趣特征和邻近结构之间的相互作用导致的光学邻近效应变得更加严重。在给定的NA设置中,这样的光学相互作用不仅仅影响邻近尺寸,而且影响特征的曝光宽容度。这显示出(参见,USPNo.6,792,591)对于方法和设计,存在“禁用间隔”区域曝光宽容度非常低。对于足够大而可插入辅助特征的禁用间距,曝光宽容度能得到改善。但是,在没有足够的间隔以插入辅助特征的这种禁用间距,整个间距处理宽容度由命名为禁用间距”所限定,由于在本发明之前掩模设计者简单地设计掩模使得没有特征落入禁用间距区域的这一现实。换言之,在掩模设计中没有使用严重降低整个处理宽容度的禁用间距。在本发明中,使用上面详述的透射调节技术来优化在禁用间距区域内的特征的成像性能,以改善整个处理宽容度。确实,如下面所示,使用本发明的透射调节来“调谐”禁用间距区域内的特征,以改善禁用间距内特征的成像性能,因此,不需要在掩模设计中避免禁用间距区域,以及消除了处理宽容度的相应降低。应注意,这里所称的“禁用间距”不仅仅限于具体的间距,也包括限定为禁用间距的具体间距的周边范围。同样地,在禁用间距范围内的所有特征可以有应用于本发明透射调节。禁用间距的范围将取决于给定方法和所用的照明装置,且能由设计者在调节过程中通过分析下面要详细解释的整个间距的NILS曲线来限定,或象其它实施例一样,能设置为约给定间距的预定范围。
图10说明实施本发明示例性方法的流程图。该方法中第一步(步骤100)是确定/获得将用于实施给定处理的目标掩模图案和照明系统。该方法中的下一步(步骤110)是确认目标图案中的临界间距以及优化印刷目标图案的照射条件。典型地,临界间距相应于在目标图案中包括的最密集间距(即,最小间距)。但是,使用其它间距作为临界间距也是可能的,例如,如果目标图案的多数特征处于除最密集间距外的间距,设计者可以决定以多数间距来优化照明条件。
一旦对于临界间距的优化照明装置确定了,接下来的步骤(步骤120)就是以上述相同的方式使用步骤110中确定的照射设置确定整个间距的NILS。如上所示,通过确定整个间距的NILS,确定对于给定照射处理的“禁用间距”变得可能,这是该方法的下一个步骤(步骤130)。参照图11和下面进一步的论述,回顾整个间距的NILS的曲线,显示低成像质量的间距(即,禁用间距)易于识为那些具有最低NILS值的间距。在图11中,给定的实施例中显示,390m对应于禁用间距,并且在390nm间距周围的区域(例如,+/-10μm)对应于禁用间距,因为如图11所示这些间距显示出下降的成像性能。如上所示,根据分析整个间距的NILS曲线,设计者例如通过确定禁用间距的NILS曲线的凹槽宽度来确定应该是多大的禁用间距区域,从而确定掩模设计中的适于透射调节的间距。可选择地,预设定被认为包括在禁用间距区域内的每个禁用间距周围的范围成为可能。这里应进一步注意,使用本发明的透射调节方法以优化具有禁用间距区域之外的间距的特征的成像性能也是可能的。
一旦禁用间距被确定,如果超过一个禁用间距在步骤130中确定,该方法中的下一步(步骤140)确定/计算对于临界间距以及每个禁用间距的整个透射率(即,%透射)的NILS。这里要注意如果设计者希望在目标设计中优化附加间距,计算不被识别为禁用间距的附加间距的整个透射率NILS也是可能的。还应注意,和步骤110中所确定的同样的照明条件应用于步骤120和步骤140中的计算NILS曲线。
通过计算临界间距和禁用间距的整个透射率NILS,易于辨别什么是最佳透射率(即,%透射)应被用于临界间距和禁用间距来产生最好的成像结果(步骤150)。事实上,如上所述,最高的NILS值对应于最佳透射率。这里要注意对于临界间距和禁用间距的最佳透射彼此可不相同。
一旦对临界间距和禁用间距的最佳透射百分比被确定,该方法中的最终步骤(步骤160)将以临界间距和禁用间距将目标设计中的特征调整到步骤150中确定的透射百分比。如下面更详细的解释,有不同的技术来调节给定特征的透射百分比,例如,半色调特征。这里也应注意,在前述方法中提出的各种NILS的计算优选用模拟器来实施,例如,ASML掩模模具,光刻巡查软件包(Lithocruiser software package)
现在描述前述方法中的一个实施例。该实施例中使用的照明设置是具有0.8NA,QUASARTM照明,σout=0.92,σin=0.68,并且角=30度的KrF系统。目标掩模在240nm间距包括80nm线。在这个实施例中,临界间距为240nm。下一步将利用前述照明设置和目标掩模产生整个间距的NILS的曲线图。图11说明了NILS曲线图的结果。这里应注意,在给定实施例中NILS是对于四个不同的掩模透射整个间距的曲线,所述掩模透射为0%,6%,35%以及100%。但是,选择单个透射和对单个透射绘制整个间距的NILS曲线以确定禁用间距也是可能的。参照图11,可以看到有大约390nm的禁用间距,因为在这个间距每个透射率的NILS值都急剧下降。同样,390nm是就曝光宽容度而言的最差间距(即,禁用间距)。
图12(a)和12(b)说明了该方法的下一步骤的结果,所述步骤计算临界间距(即,240nm)和禁用间距(即,390nm)整个透射率的NILS。最好对各种聚焦设置计算整个透射率的NILS。参照图12(a),这显示在每个散焦设置对于240nm特征的最好的透射率是约35%透射(即,最高的NILS值对应于最好的图像对比度)。参照图12(b),这显示在每个散焦设置对于390nm禁用间距的最好的透射率是100%透射。这里要注意在计算对于禁用间距的整个透射率NILS时使用单个散焦设置是可能的。但是,通过使用多重散焦设置可能证实给定透射率在整个给定散焦区域是最佳。
作为该方法中的最终步骤,包括在目标图案中间距为240nm的特征被修正至具有35%的透射率,而包括在目标图案中间距为390nm的特征被修正至具有100%的透射率。然后该修正掩模用于实际晶片的成像。
图13(a)和13(b)是曝光宽容度曲线,其说明执行本发明的前述步骤以改善该方法的整个曝光宽容度。图13(a)是曝光宽容度与焦距的曲线关系图,其证实100%的透射率提供给390nm特征最好的ED宽容度。图13(b)显示对于35%透射率的240nm间距和100%透射率的390nm间距的重叠处理窗口。通过适当地优化390nm禁用间距的透射率,证实了0.4μmDOF@10%曝光宽容度是可到达的。这个KrF实施例说明透射调节能用于克服禁用间距问题。
上述表明,禁用间距的定位是NA的强函数。对于高NA ArF系统,禁用间距转化为更小的间距,其中没有施加辅助特征例如散射带的间隔。图14说明使用有x偶极子照明,σout=0.92,σin=0.68,并且角=30度的0.85NA ArF,以及使用具有130nm临界间距的二元强度掩模的整个间距的模拟NILS。如图14所示,与KrF实施例相较,禁用间距范围由200nm转化为250nm间距范围。目前,这样的间距范围太小而不能允许辅助特征插入,以改变能量到更高的衍射级从而改善成像质量。但是,将本发明应用到该实施例,可能减小禁用间距的负作用。
具体地说,图14说明对于前述照明条件的整个间距的NILS,如图所示,禁用间距处于200-250nm范围。图15(a)-15(b)说明分别对于130nm间距(在给定实施例中为临界间距)和200nm间距、对于各种散焦设置的整个透射率NILS曲线图。参照图15(a),这显示对于130nm间距的最佳透射率是10%(即,最高NILS值)。参考图15(b),这显示对于200nm间距的最佳透射率是20%(即,最高NILS值)。
为了验证在处理宽容度中的改进,分别使用二元掩模,10%,20%和 40%透射率的三个FEM,通过具有对于分辨130nm间距最佳剂量的模拟器运行。模拟结果在图16(a)和(b)中示出。图16(a)和(b)可以清楚地看出,具有200nm间距的特征的20%透射产生最好的曝光-散焦(ED)宽容度。更具体地说,通过设定具有200nm间距的目标图案的特征的透射在20%,达到0.21μm DOF@10%曝光宽容度是可能的。对于二元掩模,只有0.18μm 10%曝光是可达到的。同样,通过使用本发明的透射调节方法,对于200nm间距,能够实现16%DOF的改善。
特征的透射调节能用许多不同的方法实现。在下面的每一个实施例中,主要的原理是修正原始目标特征例如线,以致于在掩模中设定的实际的线在与目标掩模中的原始线比较时显示出增加的透射。换言之,该原始线可认为是具有0%透射(例如,所有的铬),而具有增加的透射率的修正线被修正至允许更多的光透过其中。图17(a)说明称作“条纹”方法的透射调节的第一种方法。该方法要求除去如图17(a)中所示的线的中心部分的铬。图17(b)说明第二种方法,其中铬线边缘被蚀刻以产生在台式结构顶部的中心铬片。第三种方法,称作“半色调(halftone)”方法且在图17(c)中说明,要求蚀刻铬线以致于铬和相位区域像“斑纹”图案一样交替。这里要注意,“半色调”方法有最高的写入失准公差(write misalignment tolerance)。
进行大量实验以证实由本发明得到的在处理宽容度方面的改进,其结果在下面表示。在该实施例中,所有的曝光均由具有0.75NA,偶极子(35°发散角,σin=0.65,σout=0.89)和QUASARTM照明(30°发散角,σin=0.65,σout=0.89)的ASML PAS 5500/1100 ArF扫描器进行。目标印刷CD是70nm。对于所有的实验,晶片由在ARC29上涂覆有150nm的ARX1091 S,由OPD262显影。晶片在TEL ACT线路上涂覆。CD计量用KLA-8450R SEM完成。
注意,X-偶极子照明用于透射调节实验中,并且目标CD在160nm间距是70nm。使用三种类型的结构:纯相线,斑纹线,以及纯铬线,其分别对应于100%,40%和0%透射。图18(b)说明用于实验中的各种透射率的曝光-剂量比较,而且显示了对于斑纹线达到的最大曝光宽容度。图18(a)描述了NILS对作为散焦函数的特征透射的模拟结果。参照图18(a),期望最佳透射处于30%-40%范围之间。图18(b)的实验结果证实了对于偶极子照射,斑纹线(半色调线)产生最好的曝光宽容度,其次是纯相线。纯铬线性能最差。因此,实验结果与NILS模拟一致。
为了通过多重间距进一步验证该理论和模拟结果,对于多重间距的相同透射调节实验,使用QUASARTM照明,(30°发散角,σin=0.65,σout=0.89)来测试。该目标CD在220nm间距是70nm。为了确定整个间距的透射调节,330nm,360nm和450nm间距被选择用于验证。图19(a),19(c)和19(e)对应于分别对于220nm,330nm和360nm间距的各种聚焦设置的说明整个透射率NILS的模拟结果。图19(b)、19(d)和19(f)分别对应于220nm、330nm和360nm间距的实验结果。更具体地说,图19(b)是对于在220nm密集间距的70nm目标CD的透射调节结果。对于无铬的(即,100%透射,π-相),斑纹的以及铬特征,分划板上的4X CD分别为280nm,280nm和320nm。斑纹线等于~40%透射且产生最好的曝光宽容度。无铬的是第二好的,而铬的是最低的。图19(a)显示整个焦距的模拟NILS。如图所示,最佳透射是约50%,这与实验结果非常匹配。图19(d)显示对于没有辅助特征的330nm间距的透射调节。在图19(c)中的模拟NILS预计100%无铬对于330间距赋予最好的曝光宽容度。在图19(d)中的实验结果证实无铬的具有最高的曝光宽容度,其次是斑纹的(40%透射)。铬的具有最差的曝光宽容度。图16(f)是对于360间距的透射调节结果,其中该间距是没有散射带的禁用间距,4X分划板CD对于无铬的,斑纹的和铬的特征为320nm。该无铬线有最好的曝光宽容度,其次是斑纹,而最后是铬。实验结果也与图19(e)中的模拟NILS相匹配。
这里要注意,如上所述,透射调节通过改变特征自身上的铬量内部地实现或通过使用辅助特征外部地实现。图20(a)和20(b)说明对于450nm间距的ArF,QUASARTM照明的透射调节的实验结果。具体地说,图20(a)说明没有使用散射带的450nm间距的曝光-剂量宽容度,而图20(b)说明具有修正至包含50nm中心散射带的掩模的相同处理的曝光-剂量宽容度。参照图20(a),这表明无铬线提供最好的曝光宽容度,斑纹的(40%透射)第二好,而铬的最差。在图20(b)中,曝光宽容度趋势是相同的,然而,DOF的最好。
如前所述,MEF(或MEEF)直接影响晶片上的分划板CD公差和CD控制。图21说明使用与图18(a)和18(b)相关的相同条件的实验结果。参照图21,这显示对于范围在220nm-360nm的间距无铬线具有最低的MEF。斑纹的具有稍高的MEF而铬的最高。已知,越高的图像对比度提供越好的成像质量,其产生有更低MEF的更高的NILS。对于具有50nm铬散射带的360nm间距,由于散射带插入非常密集间距区域,对应的MEF明显增加。在更大的间距,散射带被置于更远离主要特征边缘,并且因此效果减小。
如图21中所示,对于450nm间距散射带的插入不增加MEF。然而,通过根据本发明的方法来调节透射,控制CPL分划板的MEF成为可能。换言之,本发明提供一种用以对于太密集而不适应散射带插入的间距范围优化处理宽容度的装置。
图22是为了将本发明的处理过程结合到实际设计处理流程示例性处理的流程图。该方法中第一步(步骤210)是读取/获得关于目标图案的设计数据,例如,这样的数据可以是GDSII数据格式。该方法的下一步(步骤220)是将散射条OPC处理应用于设计。执行这一步以致于在识别需要透射调节的区域和特征时,考虑散射带的光学影响。该方法的下一步(步骤230)是确认且将这些特征分为两组,其中第一组相应于将在铬中(参见图9,区域3)完成的大几何特征,而第二组包括那些能通过相-边缘或铬半色调(见,图9,区域1或2)完成的特征。这里要注意,将由铬完成的区域3的特征一般不是临界特征,它们一般也不限制处理宽容度,因此,这样的特征无需经过本发明的透射调节过程。
一旦区域1和区域2的特征得到识别,这些特征可以经过上述在图10中形成的流程图中描述的透射调节过程(步骤240)。根据本发明的透射调节过程,确定禁用间距且掩模特征根据需要被调节以改善处理宽容度。一旦该处理完成,下一步(步骤250)要求应用模OPC或任何其它OPC技术以修正掩模设计。其后,执行制作规则检测步骤(步骤260)以确认掩模的可制造性。如果该检测没有发现错误,该掩模设计完成(步骤270)。
图23(a)说明SRAM版面的实施例,以及在设计的不同部分上如何操作本发明的透射调节。对于SRAM示例设定的曝光工具是KrF,0.7NA,具有σin=0.55,σout=0.85的环形照明。图24(a)是从图23(a)中的原始目标版面转变成的CPL版面。从该设计中,位单元晶体管之间的间距是480nm。使用100%透射,480nm的间距有最高的NILS(参见图23(c)),且因此100%透射被指定于在位单元中的晶体管栅极。有115nm的较大CD目标的555nm的间距,其不能用相位线印刷(参见图23(b)),使用35%透射则具有较高的NILS(参见图23(c))。因此,需要透射调节。换言之,整个间距的模拟NILS显示第二弱区域是550nm附近,且本发明的处理将具有约35%透射率的半色调图案应用于该间距范围内的特征,以改善处理宽容度。
图24(a)说明被选来验证光刻性能的三割线。在图24(a)中,临界水平位单元晶体管栅极(标记的割线左和割线右)转变为基于临界尺寸(CD)的π-相线。具有较大的目标CD的字线(即,垂直线)被分入区域2并且半色调斑纹图案应用于这些特征。从图24(a)的版面的三割线中得到的实验FEM数据被测量用于二水平位单元π-相栅极和垂直的斑纹字线。如图24(b)所示,对于这些三割线的重叠处理窗口在10%曝光宽容度是0.75μm,这对于100nm技术是相当稳固的。
图25用示意性地描述了一种适合用于借助本发明设计的掩模的光刻投影装置。该装置包括:
-辐射系统Ex,IL,用于提供辐射投射束PB。在该特定情况下,辐射系统还包括辐射源LA;
-第一载物台(掩模台)MT,具有支撑掩模MA(例如,分划板)的掩模支撑台,并连接到用于精确地相对于零件PL定位掩模的第一定位装置;
-第二载物台(基片台)WT,具有支撑基片W(例如,涂覆抗蚀剂的硅晶片)的基片支撑台,并连接到用于精确地相对于零件PL定位基片的第二定位装置;
-投影系统(“透镜”)PL,例如,折射、反射或者折反射光学系统),用于将掩模MA的被照射部分成像到基片W的目标部分C(例如,包括一个或多个管芯)。
如这里所述,该装置是透射型的(即具有透射掩模)。然而,通常它也可以是反射型的,例如(具有反射掩模)。可替代的,该装置可以采用另一种类的构图装置代替掩模的使用;例如,包括可编程反射镜阵列或LCD矩阵。
光源LA(例如水银灯或准分子激光器)产生辐射光束。直接或经过横向调节装置例如扩束器Ex后,该光束被输入照明系统(照明器)IL。照明器IL可以包括调整装置AM,其用于设置光束强度分布的外部和/或内部半径扩展(通常称为σ外部和σ内部)。另外,该装置通常包括多种其他组件,例如积分器IN和聚光器CO。这样,投射在掩模MA上的光束PB在其横截面具有期望的一致性和强度分布。
应该注意关于图25,光源LA可以位于光刻投影装置的壳体内(通常情况是例如当光源LA是水银灯时),但是它也可以远离光刻投影装置,它产生的辐射光束被引入装置(例如,借助于适当的定向反射镜);近来的情况经常是光源LA为准分子激光器(例如,基于KrF、ArF或F2发射激光)。本发明至少包括这两种情况。
光束PB随后截取支撑在掩模台MT上掩模MA。经过掩模MA后,光束PB通过透镜PL,其将光束PB聚焦在基片W的目标部分C上。借助于第二定位装置(和干涉测量装置IF),可以精确移动基片台WT,例如,以便在光束PB的路径上定位不同的目标部分C。类似的,第一定位装置可以用于相对于光束PB的路径精确定位掩模MA,例如,在从掩模库中机械地获取掩模MA之后,或在扫描期间。通常载物台MT、WT的移动借助于长冲程部件(粗定位)和短冲程部件(精确定位)来实现,其在图25中没有清晰地描述。然而,在晶片步进机(与步进扫描工具相反)的情况下,掩模台MT可以只被连接到短冲程驱动器,或者被固定。
所示工具可以以两种不同的方式使用:
-在步进方式,掩模台MT基本保持不动,并且整个掩模图象一次投射(即单次“闪光”)在目标部分C上。然后基片台WT在x和/或y方向移动,于是通过光束PB照射不同的目标部分C。
-在扫描方式,基本应用同样的情况,除了给定目标部分C不是单次“闪光”曝光。替代地,掩模台MT在给定方向(称为“扫描方向”,例如y方向)以速度v移动,于是使投影光束PB扫描整个掩模图象;同时,基片台WT在相同或相反方向以速度V=Mv同时移动,其中M是镜头PL的放大倍率(一般,M=1/4或1/5)。以这种方式,相当大的目标C能够被曝光,而不用牺牲分辨率。
这里公开的原理可以仿真或数学模拟任何普通成像系统,用于对次波长特征成像,尤其可用于能够产生越来越小尺寸波长的新兴显像技术。已经使用的新兴技术包括由ArF激光器产生的193nm的波长,和由氟激光器产生的157nm波长的EUV(极紫外光)光刻技术。而且,EUV光刻技术通过使用同步加速器或选中具有高能电子的材料(固体或等离子体)能够产生在20-5nm范围内的波长,以便在该范围内产生光子。因为在这个范围内大多数材料是有吸收性的,所以可以由具有多层钼和硅的反射镜来产生照明。该多层镜具有40层钼和硅对,其中每一层的厚度为四分之一波长。甚至更小的波长可以用X光光刻技术产生。一般,同步加速器用于产生X射线波长。因为多数材料是吸收X光波长的,吸收材料波片限定哪里的特征印制(正抗蚀剂)或者哪里的特征不印制(负抗蚀剂)。
虽然这里公开的原理可以用于在例如硅晶片的基片上成像,但应该理解所公开的原理可以用于任何类型的光刻成像系统,如那些除了使用硅晶片以外的基片成像的系统。
计算机系统的软件功能包括编程包含可执行代码,可以用于实现上述成像模式。软件代码由通用计算机执行。在运行中,代码和可能的相关数据记录存储在通用计算机平台上。然而,在其他时间,软件可以存储在其他位置和/或被传输加载到合适的通用计算机系统。因此,上述讨论的实施例包括一种或多种软件产品,它们以一个或多个代码模块的形式由至少一种机器可读介质携带。通过计算机系统的处理器执行这些代码能够使计算机平台执行目录和/或软件下载功能,这里讨论和说明基本上在实施例中执行的方式。
这里使用的术语,例如计算机或机器“可读介质”指任何适合为处理器提供可执行指令的介质。这样的介质可以有许多形式,这些形式包括但并不限于,非易失介质,易失介质和传输介质。非易失介质包括,例如如上所述的在任意计算机中作为服务平台之一的任意存储器件。易失性介质包括动态存储器,例如这种计算机平台的主存储器。物理传输介质包括同轴电缆;铜线和光纤,其中包括在计算机系统内构成总线的电线。载波传输介质可以表现为电或电磁信号,或者例如那些在射频(RF)和红外(IR)数据传输期间产生的声波或光波。因此计算机可读介质的通常形式包括,例如:软盘,软(磁)盘、硬盘,磁带,任何其他磁介质,CD-ROM,DVD,任何其他光介质,通常较少使用的介质例如打孔卡,纸带,任何其他具有孔图形的物理介质,RAM,PROM和EPROM,FLASH-EPROM,任何其他存储芯片或盒式磁带,载波传输数据或指令,传输这种载波的电缆或连线,或任何其他计算机可从中读取程序代码和/或数据的介质。许多这些计算机可读介质的形式涉及装载一条或多条指令的一个或多个序列供处理器来执行。
尽管详细描述和解释了本发明,仅通过说明和示例的方式来清楚地理解本发明,而不是限制本发明,本发明的范围仅由后附的权利要求来限制。

Claims (18)

1.一种用于光刻方法中产生掩模的方法,所述方法包括以下步骤:
确定具有多个要被成像的特征的目标掩模图案以及要被用于使所述掩模成像的照明系统;
识别所述目标图案内的临界间距并优化用于成像所述临界间距的所述照明系统的照明设置;
识别所述目标图案内的禁用间距;并且
修正间距等于或基本上等于所述禁用间距的所述特征的透射率,以致于等于或基本上等于所述禁用间距的特征的曝光宽容度增加。
2.根据权利要求1的产生掩模的方法,还包括修正在间距等于或基本上等于所述临界间距的所述目标图案内的特征的透射率,以致于等于或基本上等于所述禁用间距的特征的曝光宽容度增加的步骤。
3.根据权利要求1的产生掩模的方法,其中所述的识别所述禁用间距的步骤包括使用所述优化的照明设置确定整个间距的标准化的图像对数斜率(NILS),以及识别具有最低NILS值的间距。
4.根据权利要求3的产生掩模的方法,其中所述的修正间距等于或基本上等于所述禁用间距的所述特征的所述透射率的步骤,包括确定在禁用间距的整个透射率的标准化的图像对数斜率(NILS)以及选择具有最高的NILS值的透射率值。
5.根据权利要求2的产生掩模的方法,其中所述的修正具有等于或基本上等于所述临界间距的间距的所述特征的所述透射率的步骤,包括确定在临界间距的整个透射率的标准化的图像对数斜率(NILS)以及选择具有最高的NILS值的透射率值。
6.根据权利要求4的产生掩模的方法,其中所述的修正具有等于或基本上等于所述禁用间距的间距的所述特征的所述透射率的步骤,进一步包括通过半色调所述特征修正目标图案。
7.一种程序产品,包括可由至少一种机器可读介质传输的可执行编码,其中借由至少一种程序控制计算机的编码的执行导致该至少一种程序控制计算机执行一系列为了产生用于光刻工艺中的掩模的步骤,所述的步骤包括:
确定具有多个要被成像的特征的目标掩模图案以及要被用于使所述掩模成像的照明系统;
识别所述目标图案内的临界间距并为了使所述临界间距成像而优化所述照明系统的照明设置;
识别所述的目标图案内的禁用间距;并且
修正具有等于或基本上等于所述禁用间距的间距的所述特征的透射率以致于等于或基本上等于所述禁用间距的特征的曝光宽容度增加。
8.根据权利要求7的产生掩模的程序产品,进一步包括修正在具有等于或基本上等于所述临界间距的间距的所述目标图案内的特征的透射率以致于等于或基本上等于所述禁用间距的特征的曝光宽容度增加的步骤。
9.根据权利要求7的产生掩模的程序产品,其中所述的识别所述禁用间距的步骤包括使用所述优化的照明设置确定贯穿间距的标准化的图像对数斜率(NILS),以及识别具有最低NILS值的间距。
10.根据权利要求9的产生掩模的程序产品,其中所述的修正具有等于或基本上等于所述禁用间距的间距的所述特征的所述透射率的步骤,包括确定在禁用间距的整个透射率的标准化的图像对数斜率(NILS)以及选择具有最高的NILS值的透射率值。
11.根据权利要求8的产生掩模的程序产品,其中所述的修正具有等于或基本上等于所述临界间距的间距的所述特征的所述透射率的步骤,包括确定在临界间距的透射率的标准化的图像对数斜率(NILS)以及选择具有最高的NILS值的透射率值。
12.根据权利要求10的产生掩模的程序产品,其中所述的修正具有等于或基本上等于所述禁用间距的间距的所述特征的所述透射率的步骤,进一步包括通过半色调所述特征修正目标图案。
13.一种装置的制造方法,包括以下步骤:
(a)提供一至少部分覆盖着辐射敏感性材料层的基片;
(b)使用成像系统提供一辐射投影束;
(c)使用掩模上的目标图案以赋予投影束在其横截面内一图案;
(d)将辐射的图案化束投影在辐射敏感性材料层的目标部分上,
其中,在步骤(c),所述的掩模由包括以下步骤的方法形成:
确定具有多个要被成像的特征的所述目标掩模图案以及要被用于使所述掩模成像的所述成像系统;
识别在所述目标图案中的临界间距并为了使所述临界间距成像而优化所述成像系统的照明设置;
识别所述目标图案内的禁用间距;并且
修正具有等于或基本上等于所述禁用间距的间距的所述特征的透射率以致于等于或基本上等于所述禁用间距的特征的曝光宽容度增加。
14.根据权利要求13的装置制造方法,进一步包括修正在具有等于或基本上等于所述临界间距的间距的所述目标图案内的特征的透射率以致于等于或基本上等于所述禁用间距的特征的曝光宽容度增加的步骤。
15.根据权利要求13的装置制造方法,其中所述的识别所述禁用间距的步骤包括使用所述优化的照明设置确定贯穿间距的标准化的图像对数斜率(NILS),以及识别具有最低NILS值的间距。
16.根据权利要求15的装置制造方法,其中所述的修正具有等于或基本上等于所述禁用间距的间距的所述特征的所述透射率的步骤,包括确认在禁用间距的整个透射率的标准化的图像对数斜率(NILS)以及选择具有最高的NILS值的透射率值。
17.根据权利要求14的装置制造方法,其中所述的修正具有等于或基本上等于所述临界间距的间距的所述特征的所述透射率的步骤,包括确认在临界间距的整个透射率的标准化的图像对数斜率(NILS)以及选择具有最高的NILS值的透射率值。
18.根据权利要求16的装置制造方法,其中所述的修正具有等于或基本上等于所述禁用间距的间距的所述特征的所述透射率的步骤,进一步包括通过半色调所述特征修正目标图案。
CNA2004101032735A 2003-11-05 2004-11-05 执行掩模图案的透射调节以改善处理宽容度的方法 Pending CN1674226A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US51708203P 2003-11-05 2003-11-05
US60/517082 2003-11-05

Publications (1)

Publication Number Publication Date
CN1674226A true CN1674226A (zh) 2005-09-28

Family

ID=34435191

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2004101032735A Pending CN1674226A (zh) 2003-11-05 2004-11-05 执行掩模图案的透射调节以改善处理宽容度的方法

Country Status (8)

Country Link
US (1) US7514183B2 (zh)
EP (1) EP1530084B1 (zh)
JP (1) JP4398852B2 (zh)
KR (1) KR20050043714A (zh)
CN (1) CN1674226A (zh)
DE (1) DE602004018722D1 (zh)
SG (1) SG111289A1 (zh)
TW (1) TW200527120A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111142327A (zh) * 2018-11-06 2020-05-12 三星电子株式会社 制造半导体器件的方法

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4904034B2 (ja) * 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US20060146307A1 (en) * 2004-12-30 2006-07-06 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
DE602006002044D1 (de) 2005-02-23 2008-09-18 Asml Masktools Bv Methode und Apparat zur Optimierung der Beleuchtung einer Schicht eines vollständigen Chips
KR100899359B1 (ko) * 2005-04-12 2009-05-27 에이에스엠엘 마스크툴즈 비.브이. 이중 노광 리소그래피를 수행하는 장치, 프로그램물 및방법
US7846616B2 (en) 2005-08-08 2010-12-07 Infineon Technologies Ag Lithography masks and methods
ATE467149T1 (de) * 2005-10-03 2010-05-15 Imec Alternierende phasenmaske
JP2008205338A (ja) * 2007-02-22 2008-09-04 Sony Corp 露光用マスク
US8652710B2 (en) * 2007-05-25 2014-02-18 Asml Netherlands B.V. Device manufacturing method, method of making a mask, and mask
US8103995B2 (en) * 2009-01-20 2012-01-24 Vanguard International Semiconductor Corporation Method for OPC correction
US8321818B2 (en) * 2009-06-26 2012-11-27 International Business Machines Corporation Model-based retargeting of layout patterns for sub-wavelength photolithography
US8146026B2 (en) * 2009-11-17 2012-03-27 International Business Machines Corporation Simultaneous photolithographic mask and target optimization
US8230372B2 (en) 2009-12-03 2012-07-24 International Business Machines Corporation Retargeting for electrical yield enhancement
US8331646B2 (en) 2009-12-23 2012-12-11 International Business Machines Corporation Optical proximity correction for transistors using harmonic mean of gate length
NL2006091A (en) * 2010-03-05 2011-09-06 Asml Netherlands Bv Design rule optimization in lithographic imaging based on correlation of functions representing mask and predefined optical conditions.
US8234603B2 (en) * 2010-07-14 2012-07-31 International Business Machines Corporation Method for fast estimation of lithographic binding patterns in an integrated circuit layout
US8856695B1 (en) * 2013-03-14 2014-10-07 Samsung Electronics Co., Ltd. Method for generating post-OPC layout in consideration of top loss of etch mask layer
KR102170143B1 (ko) 2013-08-19 2020-10-26 삼성전자주식회사 노출 허용도 오차 및 레지스트레이션 오차가 보정된 포토마스크 및 그의 레지스트레이션 보정방법
US10209526B2 (en) * 2014-01-20 2019-02-19 Yakov Soskind Electromagnetic radiation enhancement methods and systems
WO2017176314A1 (en) * 2016-04-04 2017-10-12 Kla-Tencor Corporation Process compatibility improvement by fill factor modulation
JP6741893B1 (ja) * 2020-03-04 2020-08-19 株式会社エスケーエレクトロニクス ハーフトーンマスクの欠陥修正方法、ハーフトーンマスクの製造方法及びハーフトーンマスク

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100262457B1 (ko) * 1998-05-04 2000-08-01 윤종용 반도체 장치의 오픈 드레인 입출력단 구조 및 그 제조방법
US20010046304A1 (en) * 2000-04-24 2001-11-29 Rast Rodger H. System and method for selective control of acoustic isolation in headsets
US20020076073A1 (en) * 2000-12-19 2002-06-20 Taenzer Jon C. Automatically switched hearing aid communications earpiece
US6792591B2 (en) 2001-02-28 2004-09-14 Asml Masktools B.V. Method of identifying an extreme interaction pitch region, methods of designing mask patterns and manufacturing masks, device manufacturing methods and computer programs
US6519760B2 (en) * 2001-02-28 2003-02-11 Asml Masktools, B.V. Method and apparatus for minimizing optical proximity effects
US6703167B2 (en) 2001-04-18 2004-03-09 Lacour Patrick Joseph Prioritizing the application of resolution enhancement techniques
US6553562B2 (en) 2001-05-04 2003-04-22 Asml Masktools B.V. Method and apparatus for generating masks utilized in conjunction with dipole illumination techniques
TWI293476B (en) 2002-03-25 2008-02-11 Asml Masktools Bv Method and apparatus for performing rule-based gate shrink utilizing dipole illumination
JP2004133427A (ja) 2002-07-26 2004-04-30 Asml Masktools Bv ダイポール照明技術とともに用いる配向依存遮蔽
TWI334511B (en) 2003-03-31 2010-12-11 Asml Masktools Bv Source and mask optimization
JP4563746B2 (ja) 2003-06-30 2010-10-13 エーエスエムエル マスクツールズ ビー.ブイ. イメージ・フィールド・マップを利用して補助フィーチャを生成するための、方法、プログラム製品及び装置

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111142327A (zh) * 2018-11-06 2020-05-12 三星电子株式会社 制造半导体器件的方法
CN111142327B (zh) * 2018-11-06 2024-05-14 三星电子株式会社 制造半导体器件的方法

Also Published As

Publication number Publication date
DE602004018722D1 (de) 2009-02-12
JP4398852B2 (ja) 2010-01-13
TW200527120A (en) 2005-08-16
JP2005141242A (ja) 2005-06-02
EP1530084B1 (en) 2008-12-31
EP1530084A1 (en) 2005-05-11
KR20050043714A (ko) 2005-05-11
SG111289A1 (en) 2005-05-30
US20050196682A1 (en) 2005-09-08
US7514183B2 (en) 2009-04-07

Similar Documents

Publication Publication Date Title
CN1674226A (zh) 执行掩模图案的透射调节以改善处理宽容度的方法
CN106019850B (zh) Euv焦点监控系统和方法
US7355681B2 (en) Optical proximity correction using chamfers and rounding at corners
TWI241622B (en) Mask and its manufacturing method, exposure, and device fabrication method
KR101527496B1 (ko) 3d 레지스트 프로파일 시뮬레이션을 위한 리소그래피 모델
KR100839972B1 (ko) 리소그래피 장치 및 디바이스 제조 방법
CN1530746B (zh) 用于进行使用偶极子照明的基于模型的设计转换的方法和装置
CN1577099A (zh) 对NA-σ曝光设置和散射条OPC同时优化的方法和装置
KR100700367B1 (ko) 리소그래피 장치 및 디바이스 제조방법
CN1800987A (zh) 产生具有光学邻近校正特征的掩模的方法和器件制造方法
CN1892443A (zh) 用于执行考虑了近邻影响的基于模型的光学邻近校正的设备、方法和计算机程序产品
CN1683998A (zh) 产生模拟曝光工具成像性能模型的方法、装置和程序产品
CN1495539A (zh) 自动光学近似校正规则的产生
CN1758139A (zh) 进行抗蚀剂工艺校准/优化和doe优化的方法
CN1854899A (zh) 使用散射测量的光刻测量
CN1573554A (zh) 用于接触孔掩模的光学逼近校正设计的方法
CN1908812A (zh) 执行双重曝光光刻的方法、程序产品和设备
CN1869818A (zh) 用于改进临界尺寸计算中使用的光刻胶模型的校准的方法、程序产品以及设备
CN102841509A (zh) 光刻设备和掩模优化过程与多重图案化过程的集成
JP2008166777A (ja) リソグラフィ装置およびデバイス製造方法
CN1680878A (zh) 使用干涉成像光刻法的部件优化
US9563135B2 (en) Process tuning with polarization
JP2006114901A (ja) リソグラフィ装置およびデバイス製造方法
KR102063229B1 (ko) 소스 방사선의 각도 분포의 다중-샘플링을 사용하는 리소그래피의 시뮬레이션
JP2010186166A (ja) 原版データを生成する方法およびプログラム、ならびに、原版製作方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
AD01 Patent right deemed abandoned
C20 Patent right or utility model deemed to be abandoned or is abandoned