CN1656597A - 衬底传递装置 - Google Patents

衬底传递装置 Download PDF

Info

Publication number
CN1656597A
CN1656597A CNA038116863A CN03811686A CN1656597A CN 1656597 A CN1656597 A CN 1656597A CN A038116863 A CNA038116863 A CN A038116863A CN 03811686 A CN03811686 A CN 03811686A CN 1656597 A CN1656597 A CN 1656597A
Authority
CN
China
Prior art keywords
substrate
chamber
final actuating
opening
actuating element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA038116863A
Other languages
English (en)
Inventor
H·波内坎特
V·K·沙阿
M·R·赖斯
V·别利茨基
D·考克斯
R·B·洛伦斯
J·A·克劳斯
J·C·赫金斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1656597A publication Critical patent/CN1656597A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明提供一种晶片操纵杆,其具有中心体,所述中心体具有第一末端和旋转中央轴。第一末端执行元件适于支撑第一晶片,其可旋转地连接到中心体的第一末端,从而定义中心体和第一末端执行元件之间的第一旋转轴;可选地,第二末端执行元件适于支撑第二晶片,其可旋转地连接到中心体的第二末端,从而定义中心体和第二末端执行元件之间的第二旋转轴。当中心体绕着中心旋转轴在第一方向上转动第一角距离时,与此同时,第一末端执行元件绕着第一旋转轴旋转,并且可选第二末端执行元件绕着第二旋转轴旋转。两个末端执行元件均转动第二角距离,并且,第二角距离大于第一角距离。一个或多个末端执行元件可以是无套的。

Description

衬底传递装置
本申请要求享有2002年4月25日提交的美国专利申请No.10/133152的优先权,该申请以参考的方式全部并入此处。
技术领域
本发明一般关于半导体衬底真空制造系统,以及用于提高系统产率的改进的方法和装置。
背景技术
在自动半导体晶片制造系统中,晶片一般从装载锁腔室移到至少另外一个处理腔室,经处理后,再返回到装载锁。在真空半导体处理领域,各种系统部件,诸如装载锁,处理腔室,中间处理(例如:预清洗,冷却)和传动装置(例如:机械手或传送带,等等)的布局设计,不仅对系统的成本和可靠性至关重要,而且对底座面积(footprint)(即,工具在地平面上测量的尺寸)和产率也是关键的因素。正因如此,随着工业对不断提高生产率,增强可靠性和降低成本的需要,工具的布局设计越来越受到人们的重视。
发明内容
第一方案中,本发明的晶片操纵杆包括中心体,该中心体有第一末端,第二末端和中心旋转轴。第一末端执行元件(effector)适于支撑第一晶片,可旋转地连接到中心体的第一末端,以限定中心体和第一末端执行元件之间的第一旋转轴。第二末端执行元件适于支撑第二晶片,可旋转地连接到中心体的第二末端,以限定中心体和第二末端执行元件之间的第二旋转轴。驱动装置耦合到中心体、第一末端执行元件和第二末端执行元件上,并适于使中心体绕着中心旋转轴在第一方向转动第一角距离,与此同时,第一末端执行元件绕着第一中心旋转轴旋转,而第二末端执行元件绕着第二中心旋转轴旋转。两个末端执行元件均转动过第二角距离;第二角距离大于第一角距离。
第二方案中,本发明的装置包括传递腔室,此传递腔室有第一开口和第二开口,衬底可穿过这两个开口传递。第一开口和第二开口可彼此相对定位。处理腔室与传递腔室可以在第一开口附近连接,并且,晶片操纵杆可以包含在传递腔室中。晶片操纵杆可以有一个末端执行元件,其适于选择性地通过第一开口和第二开口传送衬底。在一个方案中,晶片操纵杆可以有两个末端执行元件,其适于同时延伸穿过第一开口和第二开口。
本发明的方法包括将衬底放置到第一腔室中的衬底支持件上。然后通过具有无套末端执行元件(pocketless end effector)的衬底操纵杆将衬底取出。在取出过程中,衬底操纵杆延伸第一距离。再然后,通过衬底操纵杆将衬底传送到第二室,并且衬底操纵杆再延伸第一距离以将衬底放置到该第二室中,同时,衬底操纵杆延伸了第一距离。
本发明进一步的方法包括提供了对称的机械手,该机械手具有固定的无套叶片(pocketless blade),以便在机械手旋转的时候,选择性地向两个相反的方向延伸。机械手的第一无套叶片向第一方向延伸时,第二无套叶片向第二方向延伸,两个衬底同时被拾取。然后,机械手旋转以使衬底同时越过机械手中心,以便机械手的第一无套叶片在第二方向上延伸,而第二无套叶片在第一方向上延伸。
附图说明
图1A-C是本发明衬底传递工具的俯视平面图。
图2A-C示出本发明的衬底传递工具的可替换实施例,其中,传递腔室包括衬底操纵杆,该衬底操纵杆具有两个末端执行元件,这两个末端执行元件可旋转地连接在中心体的相对端。
图3A和3B是侧视示意图,其分别示出阻挡元件(blocker)处在阻挡第一开口位置,和处在允许末端执行元件中的一个通过第一开口传送衬底的位置。
图4A和4B是本发明的衬底操纵杆的俯视平面图,其示出示例性的驱动装置。
图5是本发明的衬底操纵杆的俯视示意平面图,其示出图4A-4B中利用磁耦合效应(magnetic coupling)的传送元件。
图6是本发明的衬底操纵杆的俯视平面图,其示出图4A-4B中运用交叠磁铁的传送元件。
图7示出本发明的在停放台(docking platform)和装载锁腔室之间连接的衬底传递装置的俯视平面图。
具体实施方式
图1A-C是本发明的衬底传递工具11的俯视平面图。本发明的衬底传递工具11包括传递腔室13,该传递腔室13由第一开口15、第二开口17组成,通过这些开口都可以传递衬底。第一开口15和第二开口17彼此相对设置(例如:在传递腔室13的相对侧,以便跨越传递腔室13并穿过第一开口15和第二开口17画一条直线)。第一腔室19(例如:处理腔室,或者是在真空和大气压之间抽空并通气的装载锁腔室)被连接到邻近第一开口15的传递腔室13,并包含衬底支撑件21a。衬底装载工具(loading tool)23(如用来接收衬底载座的停放台(docking station),或装载锁腔室)被连接到邻近第二开口17的传递腔室13,并包含衬底支撑件25b。
传递腔室13包括衬底操纵杆27a;该衬底操纵杆27a具有可旋转的中心体28;末端执行元件29,其连接到中心体28并适于选择性地延伸穿过第一开口15和第二开口17。图1A-C示出衬底操纵杆27a依次在收缩位置和延伸位置之间运动。如图示,末端执行元件29可以延伸穿过第一开口15或者第二开口17,而不必对准第一开口15或者第二开口17的中心。
优选地,末端执行元件29可以支撑在其长度方向不同位置放置的各种水平衬底(即,“无套的”)。如果末端执行元件29是无套的,那么不管在末端执行元件29或者是中心体28尺寸上有没有温度诱发的变化,都可以可靠地从第一室19和衬底装载工具23中拾取和放置衬底。衬底操纵杆27优选是一个可扩展的选择适应性机械手组件(SCARA)臂型操纵杆,其具有一系列垂直取向的关节和内部传递件,该内部传递件用来产生末端执行元件29的直线运动。
图2A-C示出了本发明的衬底传递工具11的一个可替换的实施例。其中,传递腔室13包括衬底操纵杆27b;该衬底操纵杆27b有两个末端执行元件29a、29b,这两个末端执行元件在相对端可旋转地连接到中心体28上。衬底操纵杆27b可以穿过第一开口15和第二开口17同时延伸两个末端执行元件29a、29b,如下面参考图4的详细说明。和衬底操纵杆27a一样,作为优选,衬底操纵杆27b的两个末端执行元件29a、29b都是无套的。
一般工具操作
图1A-C和图2A-C中衬底传递工具11类似地操作,假定当其主体(main body)28与第一开口15和第二开口17(图1A和2A)大致平行时,衬底操纵杆27a、27b处在收缩位置,并且在顺时针转动或逆时针转动时延伸,直到主体28与第一开口15和第二开口17完全垂直,而末端执行元件29a、29b延伸穿过第一开口15和/或第二开口17以定位衬底,所述衬底被支撑在末端执行元件29a、29b上,衬底支撑件21a和/或衬底支撑件21b上方,分别如图1B-C和图2B-C中依次所示。
如图所示,值得注意的是主体28的旋转圆周线C可能与第一开口15和第二开口17交迭。这样,不仅可能减少传递腔室13占据的地面面积(即,底座面积),还可缩短衬底所必须移动的总的距离,这样就可以在不增大衬底的移动速度的情况下使衬底传递得更快。相应地,就可以迅速高效地交换衬底,而不必使衬底沿着末端执行元件29a、29b滑行。
当末端执行元件29a、29b在衬底支架21a、21b的上方时,衬底操纵杆27a、27b可以降低,以使末端执行元件29a、29b在位于衬底支撑件21a、21b上的多个销钉P之间降低。可替换地,位于衬底支撑件21a、21b上的销钉P可以上升以从末端执行元件29a、29b上提高衬底。
优选地,处理腔室13和装载锁腔室23上的销钉P都适于升高和降低以取出衬底和/或将衬底放置在末端执行元件29a、29b上。以这种方式,可以使衬底操纵杆27a、27b更加可靠,且更便宜。类似地,当操纵杆27a、27b将从携带有多个衬底的衬底载座上取出衬底时,载座所在的停放台会相应地升高和降低。停放台可以标号以使每个衬底在衬底依次放在衬底操纵杆的升降台(elevation)上。
平台校准过程
为校准衬底传递工具11,衬底操纵杆27a、27b必须等距离地放置在衬底支架21a、21b之间。因为末端执行元件29a、29b是无套的,无论衬底操纵杆27a、27b的中心旋转轴35与每个衬底支撑件21a、21b的具体距离33,它们都从衬底支撑件21a、21b上拾取衬底。而且,因为衬底支撑件21a、21b与衬底操纵杆27的中心旋转轴35等距,因此,在衬底支撑件21a、21b中的一个上拾取的并被传到衬底支撑件21a、21b另一个上的衬底将被自动正确定位。相应地,如图所示,通过使衬底支撑件21a、21b和衬底操纵杆27的中心旋转轴35等距离定位,并使其处在一条直线(calibrate),就可以简单地校准衬底传递工具11。
为在处理腔室中精确地将晶片放在所要求的位置(例如:在此例中,放在处理腔室的中心),晶片将被精确地放置在(通过任何方式)处理腔室的中心。然后,本发明的衬底操纵杆27将晶片从处理腔室19的中心取出,并将其放置在无套的架子(shelf)上,或装载锁腔室23的一组销钉上。然后,再确定晶片在装载锁紧室23中的精确位置(例如,通过手动操纵机械臂装载和卸载装载锁紧室23;通过使用装在装载机械臂叶片边上的传感器,或者通过使用集成在装载锁紧室上的传感器来定位衬底的边缘)。后续晶片被安放在装载锁腔室23内的确定的精确的位置。假定在校准传递过程中使用的末端执行元件29在后续的在装载腔室23和处理腔室19之间的传递中使用,所传递的晶片将被精确地放置在处理腔室19的正中心,并在装载锁腔室23中精确确定的位置。因此,从装载锁腔室23到处理腔室19的传递可被限制,以便总是通过同一末端执行元件29来完成。可替换地,如果两个末端执行元件29a、29b被用来将晶片从装载锁腔室23传递到处理腔室19,那么,晶片在装载锁腔室23中的精确位置可分别通过各个末端执行元件29a、29b来确定。
腔室隔离
衬底传递工具11上的第一开口15和第二开口17可以有选择地用阀门密封,例如本领域公知的传统的窄口阀(slit valve)或者是闸阀(gatevalve),或由非密封物(即,阻挡元件)选择性地阻挡,该非密封物适于选择性地阻挡各个开口15、17,并调整其大小来阻止邻近区域环境间的混合。这样,本发明的衬底传递工具11可提供相当多的处理多样性。
是否使用窄口阀,闸阀,阻挡元件或者其它装置取决于第一腔室19,特定的装载工具23(例如:装载锁,或带有或不带有自动开门器的停放台)将要执行的操作,和传递腔室13是否保持在真空压力下,大气压下,或者在真空压力或大气压之间抽空和通气。
当图1A-2C为等离子处理配置时,窄口阀(在剖视图中用37表示)选择性地密封位于传递腔室13和衬底装载工具23之间的第二开口17,阻挡元件39(参见图3A和3B)可选择性地阻挡位于传递腔室13和第一腔室19(例如,在此例中的处理腔室19)之间的第一开口15。处理腔室19可以是传统的等离子处理腔室,例如蚀刻或沉积腔室。
图3A和3B是侧面示意图,其示出处在阻挡第一开口15的位置的阻挡元件39(图3A),和处在允许末端执行元件29a、29b中的一个穿过第一开口15传送晶片的位置(图3B)。阻挡元件39可配置成更像任何一种传统的窄口阀,但是不必包括如O型圈和水平传动器(horizontal actuator)之类的密封装置。例如,阻挡元件39可以包括挡板(blocking plate)38,其大小至少要可以覆盖开口15。例如,挡板86可以定位在开口15的附近,也可以连接到用来在图3A和3B所示的位置之间升高和降低挡板38的垂直传动器上。
不管是配置成支撑单个或多个衬底,衬底装载工具23(在此例中指装载锁23)都可以是任何传统的装载锁。
假定处理腔室19和传递腔室13都连续保持在真空压力下,传递腔室13可以有它自己的真空泵或者通过处理腔室19的真空泵抽空。
现在描述图1A-1C中衬底传递工具的操作。最初,一个或者多个衬底被放置装载锁腔室23中,并且该装载锁腔室23被抽至真空压力。然后,定位在装载锁腔室23和传递腔室13之间的窄口阀37打开,衬底操纵杆27a旋转并延伸以定位其第一末端执行元件29a在一衬底下面,该衬底由多个销钉P支撑在装载锁腔室23中衬底的下面。销钉P降低,将衬底传递到第一末端执行元件29a。然后,衬底操纵杆27a收缩(图2A-B),旋转180°并延伸,将未处理的衬底保持在处理腔室19中的销钉P的上方。在标题为“衬底操纵杆”部分会详细描述此收缩和旋转过程。
然后,窄口阀37抬升,处理腔室19中的销钉P延伸,将衬底从末端执行元件29a提高,同时,衬底操纵杆27a收缩并旋转90°至其中心收缩(centeral-retracted)位置(图2A)。销钉P降低,将衬底定位在衬底支撑件21a上,同时阻挡元件39抬升。等离子体可在处理腔室19中产生,并且由阻挡元件39阻止或防止进入传递腔室19中。
假设有一对垂直叠放的、垂直可标记的晶片支撑槽的装载锁23被采用,晶片支撑槽升起,以使空晶片支撑槽恰好在末端执行元件27a升降台下方。在处理完成之后,阻挡元件39降低(和如果先前被抬升的窄口阀37的动作一样),销钉P将衬底升高到衬底支撑件21a的上面,衬底操纵杆27a旋转90°延伸到处理腔室19中已处理的衬底的下面,且销钉P将衬底降低到末端执行元件29a上。然后,衬底操纵杆27a旋转180°,如在下一部分中所详细描述的那样收缩和延伸,直至衬底定位在装载锁23的空晶片支撑槽的上方。然后,支撑槽抬升以从末端执行元件29a升起衬底。装载锁23的支撑槽升高以将衬底放到末端执行元件29a上。可以理解,在有些情况下,末端执行元件29a可能需要收缩然后延伸,以允许在末端执行元件29a的上方被占用的衬底支撑槽的定位,以便衬底传递。
衬底操纵杆27a收缩,且窄口阀37关闭。然后,装载锁23与大气压相通,被处理的衬底从中取出,而未处理的衬底被装载到装载锁23中,与此同时,未处理的衬底被传递到处理腔室19。然后,对每一个新装载到装载锁23中的衬底重复处理过程。
图2A-C中,衬底传递工具的操作与上面参考图1A-C描述的操作类似。基本差异在于图2A-C中的衬底传递工具可更有效地采用只有一个槽的装载锁23。参见图2A-C(假定是单个槽的装载锁),衬底被装载到装载锁23中,且装载锁23被抽成低压。假设已处理的衬底放在处理腔室19中,窄口阀37和阻挡元件39降低,衬底操纵杆27b从收缩的、中心位置旋转90°到延伸位置,该延伸位置在处理腔室19和装载锁23内升起的销钉P支撑的衬底下方。销钉P降低,衬底操纵杆旋转180°,同时将已处理的衬底传送到装载锁23中,且将未处理的衬底传送到处理腔室19中。销钉P升高,从末端执行元件29a,29b上举起衬底,且衬底操纵杆27b旋转90°,将空的末端执行元件29a、29b带回收缩、中心位置。然后,窄口阀37和阻挡元件39抬升,衬底的处理是在处理腔室19中进行的,且衬底的交换是在装载锁23中进行的。然后,处理重复进行。
如上所述,一方面,如果衬底操纵杆的旋转圆周线C延伸超出开口15和17,本发明的衬底传递工具就因为较短的移动距离而允许更快的传递。下面描述示例性的衬底操纵杆及其操作。
衬底操纵杆
图4A和4B是发明的衬底操纵杆的俯视平面图,其示出一个示例性的机械驱动装置。如图所示,衬底操纵杆27b包括中心体28,该中心体28具有第一末端A、第二末端B和中心旋转轴35。第一末端执行元件29a可旋转地连接到中心体28的第一末端A,从而定义了中心体28和第一末端执行元件29a之间的第一旋转轴。第二末端执行元件29b可旋转地连接到中心体28的第二末端B,从而定义了中心体28和第二末端执行元件29b之间的第二旋转轴。
驱动装置与中心体28、第一末端执行元件29a、第二末端执行元件29b相连接,该驱动装置可以包括电动机(未示出),和齿轮。驱动装置适于旋转第一和第二末端执行元件29a、29b旋转的同时,使中心体28绕着中心旋转轴35转动。优选地,选择齿轮使得第一和第二末端执行元件29a、29b转动的角距离大于中心体28转动的角距离。例如,驱动装置可包括位于中心体28的中心旋转轴35的中心齿轮41,及第一和第二执行元件齿轮43a、43b。第一和第二执行元件齿轮43a、43b将第一和第二末端执行元件29a、29b可旋转地连接到中心体28上。优选地,中心齿轮41,第一和第二执行元件齿轮43a、43b有2∶1的比例,并且彼此可操作地连接到一起(或者直接啮合,或者通过中间齿轮45),以便随中心体28旋转90°,第一和第二末端执行元件29a、29b旋转180°。可以理解,中心齿轮41可以与中心体28固定连接,以便中心齿轮41的旋转引起中心体28跟着一起旋转。可替换地,中心齿轮41可以相对地面固定,且单个电动机可驱动中心体28旋转。可以理解,也可以使用许多其它的驱动装置,例如:传动条、传动带、或者是联动装置。
因为中心体28、第一和第二末端执行元件29a、29b的旋转都是由同一个电动机驱动。另外,还因为选择中心齿轮41,第一和第二执行元件齿轮43a、43b使得第一末端执行元件29a和第二末端执行元件29b的旋转角度相等,所以,不管是末端执行元件29a、29b中的哪一个执行拾取或者是放置操作,都能在精确的位置将衬底拾取和放置。为保证末端执行元件的拾取/放置操作精密地交替轮流执行,两个末端执行元件29a、29b应在距离中心体28的中心旋转轴35等距离处连接。这样,因为衬底操纵杆27b是对称的,所以就不必在每个腔室(例如:装载锁腔室23和处理腔室19)中精确对准末端执行元件29a、29b。
一种保证两个末端执行元件29a、29b在距离中心体28的中心旋转轴35等距离处连接的方法,该方法是通过运动学的对准装置(kinetmatic alighment mechanism),例如位于第一和第二末端执行元件29a、29b的相同位置处的孔47。这样,如图4B所示,当第一和第二末端执行元件29a、29b处于中心位置(例如,都在中心体28的上方或下方的中央),孔47对准,以便对准的定位销49可以插入重叠的孔47中。选择定位销(alignment pin)49的直径,从而使定位销49只有当孔47(以及因此末端执行元件29a、29b)处在合适的位置时,才能通过孔47插入。一个方案中,如图5所示,齿轮可以磁连接。
图5是俯视平面示意图,其示出图4A-4B中的齿轮使用磁连接,而不是使用传统的啮合齿。如图5所示,每个齿轮包括圆环形排列的磁铁51。排列磁铁51以便磁铁的北极和南极交替。定位附近齿轮以便吸引附近齿轮的磁铁(例如:中心齿轮41的北极磁铁定位在中间齿轮45的南极磁铁的附近)。这样,当中心齿轮41旋转时,中心齿轮41和中间齿轮45上的磁铁51之间的吸引力带动中间齿轮45旋转。
该实施例提供减少了的活动部件之间的接触,从而减少了微粒的产生并延长了部件的寿命。而且,因为在任何给定的时刻,多对磁铁的吸引磁场都对齿轮旋转有贡献,所以可使旋转平滑,而不会产生在使用啮合齿时会发生的嵌齿(cogging)现象。另外,磁连接允许定位齿轮以便邻近齿轮交叠(如图6中区域53所示),因而减小了驱动器组件的底座面积大小。选择磁性齿轮以便提供所需的输入旋转对输出旋转的比率,而且,可以将一个平面上的旋转转换到另一个平面上,虽然没有示出。
图7是俯视平面图,其示出本发明的衬底传递工具11,其连接在停放台(docking platform)55和装载锁腔室57之间。在图7的实施例中,衬底传递工具可包括单个传递腔室13,该传递腔室13包括两个本发明的衬底操纵杆27b。停放台55可包括应用材料有限公司(AppliedMaterials Inc.)Bay Distributed Stocker的停放台,还可包括用来打开密封的衬底载座的门的装置。停放台可被改进以便垂直地标记位于其间的衬底载座,以便衬底传递工具11的衬底操纵杆不必作Z轴方向的运动。用于开启密封衬底载座和垂直标记载座以便于衬底取出的示例性装置在美国专利No.09/882130有公开,该专利于2001年6月14日申请,其标题为“Pod Door Opener”(AMAT No.4026/P1),其全部内容以参考的方式并入此处。
装载锁腔室57可能是市场上可以买到的处理工具的一部分,如应用材料有限生产的ProducerTM平台59。如图7所示,将标准的ProducerTM平台改装,增加了一对本发明的衬底操纵杆27b。
前面的描述只揭示了本发明的优选实施例;上面所揭示的装置的改进对本领域技术人员而言是显而易见的,这些改进都属于本发明的保护范围。例如,衬底操纵杆的机械驱动装置可包括联动装置,传动条带,传动带或任何其它的传统装置。带动驱动装置的电动机可包括真空电动机,磁耦合电动机,铁磁流体耦合(ferrofluidicly coupled)电动机,差分唇形密封电动机,偏心轮驱动器(eccentric bellow drive),或者真空隔离谐波驱动器(vacuum isolated harmonic drive)。
虽然这里所描述的示例性衬底传递工具在传递腔室相对侧配置有开口,这些开口也可位于其它位置,并且衬底操纵杆的设计(末端执行元件和中心体的形状及尺寸,和齿轮齿数比)也要做相应的修改。
进一步,可以理解,图7中的装置可替换地包括只有一个末端执行元件的本发明的衬底操纵杆27a。包含在传递腔室11或者13中的本发明的衬底操纵杆27a或者27b的数量,可变化(例如:一个或者多个)。一个方案中,传递腔室11可以用应用材料有限公司的工厂接口腔室(Factory Interface Chamber)替代,该工厂接口腔室包括单个叶片状晶片操纵杆,其沿着导轨移动以将自身定位在所需的装载锁57或停放台55的前面。而且停放台55可包括应用材料有限公司生产的自动开门器工作台。
因此,虽然本发明是结合示例性实施例公开的,但应该理解,其它实施例也属于权利要求所限定的本发明的思想和范围。

Claims (31)

1.一种晶片操纵杆,其包括:
中心体,其具有第一末端,第二末端和中心旋转轴;
第一末端执行元件,其适于支撑第一晶片;并可旋转地连接在所述中心体的第一末端上,以定义所述中心体和所述第一末端执行元件之间的第一旋转轴,
第二末端执行元件,其适于支撑第二晶片并可旋转地连接在所述中心体的第二末端上,以定义所述中心体和所述第二末端执行元件之间的第二旋转轴,
驱动装置,其连接到所述中心体、所述第一末端执行元件和第二末端执行元件上,并且适于使所述中心体绕着所述第一中心旋转轴在第一方向上转动第一角距离,同时,绕着第一旋转轴旋转所述第一末端执行元件并且绕着第二旋转轴旋转所述第二末端执行元件转动第二角距离,该第二角距离大于所述第一角距离。
2.根据权利要求1中所述的装置,其中,所述第二角距离是所述第一角距离的两倍,以便当所述中心体旋转180°时,所述第一和第二末端执行元件相对于所述中心体旋转360°。
3.根据权利要求2中所述的装置,其中,所述驱动装置包括:
中心齿轮,其定位在所述中心体的所述中心旋转轴上
第一末端执行元件齿轮,其将所述第一末端执行元件可旋转地连接到所述中心体上;以及
第二末端执行元件齿轮,其将所述第二末端执行元件可旋转地连接到所述中心体上;
其中,所述中心齿轮和所述第一末端执行元件齿轮保持2∶1的比例;所述中心齿轮和所述第二末端执行元件齿轮保持2∶1的比例,并且所述第一和第二末端执行元件齿轮连接到所述中心齿轮以便随之旋转。
4.根据权利要求1中所述的装置,其中所述第一和第二末端执行元件是无套的。
5.根据权利要求2中所述的装置,其中所述第一和第二末端执行元件是无套的。
6.根据权利要求3中所述的装置,其中所述第一和第二末端执行元件是无套的。
7.根据权利要求4中所述的装置,其中所述第一和第二末端执行元件各自都有一个校准孔,该校准孔被定位以便当所述第一和第二末端执行元件处于中心位置时,这些校准孔对准成一条直线。
8.根据权利要求5中所述的装置,其中所述第一和第二末端执行元件各自都有一个校准孔,该校准孔被定位以便当所述第一和第二末端执行元件处于中心位置时,这些校准孔对准成一条直线。
9.根据权利要求6中所述的装置,其中所述第一和第二末端执行元件各自都有一个校准孔,该校准孔被定位以便当所述第一和第二末端执行元件处于中心位置时,这些校准孔对准成一条直线。
10.一种装置,其包括:
传递腔室,其具有衬底可穿过其传递的第一开口和衬底可穿过其传递的第二开口,所述第一和第二开口彼此相对定位;
处理腔室,其连接到所述第一开口附近的传递腔室;
晶片操纵杆,其包含在所述传递腔室中,所述晶片操纵杆具有适于携带衬底穿过所述第一和第二开口的末端执行元件。
11.根据权利要求10中所述的装置,其中所述所述晶片操纵杆是无套的。
12.根据权利要求10中所述的装置,其中所述晶片操纵杆是SACARA。
13.根据权利要求10中所述的装置,其中所述晶片操纵杆有适于同时延伸穿过所述第一和第二开口的两个末端执行元件。
14.根据权利要求13中所述的装置,其中所述晶片操纵杆是SACARA。
15.根据权利要求13中所述的装置,其中两个末端执行元件都是无套的。
16.根据权利要求14中所述的装置,其中两个末端执行元件都是无套的。
17.根据权利要求10中所述的装置,进一步包括一个非密封物体,其适于选择性地阻挡所述第一开口,并且,当阻挡所述第一开口时,调整其尺寸以阻止处理腔室和传递腔室之间的环境混合。
18.根据权利要求10中所述的装置,进一步还包括非密封物体,其适于选择性阻挡所述第一开口,并且,当阻挡所述第一开口时,调整其尺寸以阻止等离子体通过所述第一开口离开所述处理腔室。
19.根据权利要求17中所述的装置,进一步还包括衬底装载腔室,其连接到所述第二开口附近的所述传递腔室,并适于选择性地在衬底装载腔室内产生真空压力;和阀门,其适于选择性地密封所述第二开口。
20.根据权利要求19中所述的装置,进一步还包括衬底装载腔室,其连接到所述第二开口附近的所述传递腔室,并适于选择性地在衬底装载腔室内产生真空压力;和阀门,其适于选择性地密封所述第二开口。
21.根据权利要求17中所述的装置,进一步还包括衬底装载腔室,其连接到所述第二开口附近的所述传递腔室,并适于选择性地在衬底装载腔室内产生真空;
第一阀门,其适于选择性地密封所述第一开口;和
第二阀门,其适于选择性地密封第二开口。
22.根据权利要求10中所述的装置,进一步还包括:
自动开门器,其连接到所述第二开口附近的所述传递腔室;和
阀门,其适于选择性地密封所述第二开口。
23.根据权利要求22中所述的装置,其中所述自动开门器包括标记装置。
24.根据权利要求22中所述的装置,进一步包括适于选择性地密封所述第一开口的阀门。
25.根据权利要求10中所述的装置,进一步包括用于支撑所述传递腔室和所述处理腔室的框架,和
连接到所述框架底部的滚动元件。
26.一种方法,其包括:
将衬底放置在第一腔室中的衬底支撑件上;
通过延伸所述衬底操纵杆第一距离,用所述衬底操纵杆将所述衬底取出,所述衬底操纵杆具有无套的末端执行元件;
通过所述衬底操纵杆将所述衬底传送至第二腔室中;
将所述衬底操纵杆延伸所述第一距离;以及
在所述衬底操纵杆延伸所述第一距离时,将所述衬底放置到所述第二腔室中。
27.根据权利要求26中所述的方法,进一步包括定位所述第二腔室中的衬底支撑件,以便所述衬底操纵杆将所述衬底放在所述衬底支撑件上。
28.根据权利要求27中所述的方法,进一步包括,在所述衬底支撑件定位后将其固定,以便所述衬底操纵杆将所述衬底放在其上。
29.根据权利要求27中所述的方法,其中定位所述衬底支撑件包括定位所述第二腔室。
30.根据权利要求26中所述的方法,其中所述第一腔室包括处理腔室,而所述第二腔室包括装载锁。
31.一种方法,其包括:
提供对称的机械臂,其具有无套的叶片,以便当机械臂旋转时选择性地在相对方向上延伸;
同时,所述机械臂的第一无套的叶片在第一方向延伸,第二无套的叶片在第二方向延伸时,拾取两个衬底;
旋转所述机械臂以便所述衬底同时经过所述机械臂的中心;
继续旋转所述机械臂以便所述机械臂的第一无套的叶片在所述第二方向延伸,并且所述第二无套的叶片在所述第一方向延伸。
CNA038116863A 2002-04-25 2003-04-24 衬底传递装置 Pending CN1656597A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/133,152 US20030202865A1 (en) 2002-04-25 2002-04-25 Substrate transfer apparatus
US10/133,152 2002-04-25

Publications (1)

Publication Number Publication Date
CN1656597A true CN1656597A (zh) 2005-08-17

Family

ID=29248931

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA038116863A Pending CN1656597A (zh) 2002-04-25 2003-04-24 衬底传递装置

Country Status (5)

Country Link
US (1) US20030202865A1 (zh)
KR (1) KR20040099467A (zh)
CN (1) CN1656597A (zh)
AU (1) AU2003234206A1 (zh)
WO (1) WO2003092050A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109659259A (zh) * 2018-12-12 2019-04-19 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 片盒取放机构及半导体湿法工艺浸泡槽抖动装置
CN110268513A (zh) * 2017-01-27 2019-09-20 东京毅力科创Fsi公司 用于在工艺室中旋转和平移衬底的系统和方法
CN110653795A (zh) * 2019-09-11 2020-01-07 汕头大学 一种双臂机器人
CN114464557A (zh) * 2015-05-12 2022-05-10 安世有限公司 用于将半导体装置从晶片传递到载体结构的方法和系统

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6283692B1 (en) 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
US20020090282A1 (en) * 2001-01-05 2002-07-11 Applied Materials, Inc. Actuatable loadport system
US20030031538A1 (en) * 2001-06-30 2003-02-13 Applied Materials, Inc. Datum plate for use in installations of substrate handling systems
US7684895B2 (en) 2002-08-31 2010-03-23 Applied Materials, Inc. Wafer loading station that automatically retracts from a moving conveyor in response to an unscheduled event
US7243003B2 (en) * 2002-08-31 2007-07-10 Applied Materials, Inc. Substrate carrier handler that unloads substrate carriers directly from a moving conveyor
US20040081546A1 (en) * 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
US7930061B2 (en) 2002-08-31 2011-04-19 Applied Materials, Inc. Methods and apparatus for loading and unloading substrate carriers on moving conveyors using feedback
CN100421024C (zh) * 2002-09-30 2008-09-24 Asml荷兰有限公司 光刻装置及器件制造方法
US7196507B2 (en) * 2003-08-28 2007-03-27 Suss Microtec Testsystems (Gmbh) Apparatus for testing substrates
TWI367192B (en) * 2003-11-13 2012-07-01 Applied Materials Inc Calibration of high speed loader to substrate transport system
TW200524073A (en) * 2003-11-13 2005-07-16 Applied Materials Inc Kinematic pin with shear member and substrate carrier for use therewith
US7409263B2 (en) * 2004-07-14 2008-08-05 Applied Materials, Inc. Methods and apparatus for repositioning support for a substrate carrier
US7286890B2 (en) * 2005-06-28 2007-10-23 Tokyo Electron Limited Transfer apparatus for target object
EP1952437B1 (de) * 2005-11-17 2009-03-25 OC Oerlikon Balzers AG Transportvorrichtung für scheibenförmige werkstücke
KR100790557B1 (ko) * 2006-06-23 2008-01-02 세메스 주식회사 선입선출을 하는 버퍼 시스템
JP4098338B2 (ja) * 2006-07-20 2008-06-11 川崎重工業株式会社 ウェハ移載装置および基板移載装置
US9002514B2 (en) * 2007-11-30 2015-04-07 Novellus Systems, Inc. Wafer position correction with a dual, side-by-side wafer transfer robot
US8060252B2 (en) 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US7984543B2 (en) * 2008-01-25 2011-07-26 Applied Materials, Inc. Methods for moving a substrate carrier
US9117870B2 (en) * 2008-03-27 2015-08-25 Lam Research Corporation High throughput cleaner chamber
US8144310B2 (en) 2008-04-14 2012-03-27 Asml Netherlands B.V. Positioning system, lithographic apparatus and device manufacturing method
US8886354B2 (en) * 2009-01-11 2014-11-11 Applied Materials, Inc. Methods, systems and apparatus for rapid exchange of work material
US8562272B2 (en) * 2010-02-16 2013-10-22 Lam Research Corporation Substrate load and unload mechanisms for high throughput
US8893642B2 (en) 2010-03-24 2014-11-25 Lam Research Corporation Airflow management for low particulate count in a process tool
US8282698B2 (en) * 2010-03-24 2012-10-09 Lam Research Corporation Reduction of particle contamination produced by moving mechanisms in a process tool
US9076829B2 (en) 2011-08-08 2015-07-07 Applied Materials, Inc. Robot systems, apparatus, and methods adapted to transport substrates in electronic device manufacturing
US9293355B2 (en) 2012-11-09 2016-03-22 Kabushiki Kaisha Yaskawa Denki Substrate transfer system and substrate processing system
JP5793527B2 (ja) * 2013-03-26 2015-10-14 東京エレクトロン株式会社 搬送装置制御システム及び搬送装置のアクセス位置を調整する方法
KR102161160B1 (ko) * 2013-10-31 2020-09-29 삼성전자주식회사 기판의 표면 검사 방법 및 이를 수행하기 위한 장치
US9978631B2 (en) * 2015-12-31 2018-05-22 Beijing Naura Microelectronics Equipment Co., Ltd. Wafer pick-and-place method and system
US10796940B2 (en) 2018-11-05 2020-10-06 Lam Research Corporation Enhanced automatic wafer centering system and techniques for same
KR102548570B1 (ko) * 2021-07-22 2023-06-29 피에스케이 주식회사 기판 처리 장치 및 도어 어셈블리 구동 방법

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4481592A (en) * 1982-03-05 1984-11-06 Texas Instruments Incorporated Calibration system for a programmable manipulator
JPS6020878A (ja) * 1983-07-15 1985-02-02 ファナック株式会社 工業用ロボットの基準位置決め装置
US4552502A (en) * 1983-10-07 1985-11-12 Nordson Corporation Apparatus for locking the wrist links of a work robot in the same respective relative positions to facilitate calibration of the wrist link position transducers thereof
US4693370A (en) * 1984-10-22 1987-09-15 Rca Corporation Pallet
JPS61279478A (ja) * 1985-05-31 1986-12-10 フアナツク株式会社 産業用ロボツトの基準位置決め装置
DE3704505A1 (de) * 1987-02-13 1988-08-25 Leybold Ag Einlegegeraet fuer vakuumanlagen
US4892457A (en) * 1988-07-11 1990-01-09 Gmf Robotics Corporation Apparatus for mastering a robot
JP2808826B2 (ja) * 1990-05-25 1998-10-08 松下電器産業株式会社 基板の移し換え装置
JPH06210586A (ja) * 1993-01-13 1994-08-02 Fanuc Ltd 各軸基準位置設定手段を備えた産業用ロボット
JPH06320453A (ja) * 1993-05-13 1994-11-22 Fanuc Ltd 産業用ロボットの位置決め装置
US5765444A (en) * 1995-07-10 1998-06-16 Kensington Laboratories, Inc. Dual end effector, multiple link robot arm system with corner reacharound and extended reach capabilities
US6098484A (en) * 1995-07-10 2000-08-08 Kensington Laboratories, Inc. High torque, low hysteresis, multiple link robot arm mechanism
US6752584B2 (en) * 1996-07-15 2004-06-22 Semitool, Inc. Transfer devices for handling microelectronic workpieces within an environment of a processing machine and methods of manufacturing and using such devices in the processing of microelectronic workpieces
JPH10329069A (ja) * 1997-03-31 1998-12-15 Daihen Corp 搬送システムの制御方法
JPH11135600A (ja) * 1997-08-25 1999-05-21 Shibaura Mechatronics Corp ロボット装置および処理装置
US6071060A (en) * 1998-04-08 2000-06-06 Mcms, Inc. Calibration jig for an automated placement machine
US6547510B1 (en) * 1998-05-04 2003-04-15 Brooks Automation Inc. Substrate transport apparatus with coaxial drive shafts and dual independent scara arms
US6347918B1 (en) * 1999-01-27 2002-02-19 Applied Materials, Inc. Inflatable slit/gate valve
US6429139B1 (en) * 1999-12-17 2002-08-06 Eaton Corporation Serial wafer handling mechanism
US20020098072A1 (en) * 2001-01-19 2002-07-25 Applied Materials, Inc. Dual bladed robot apparatus and associated method

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114464557A (zh) * 2015-05-12 2022-05-10 安世有限公司 用于将半导体装置从晶片传递到载体结构的方法和系统
CN110268513A (zh) * 2017-01-27 2019-09-20 东京毅力科创Fsi公司 用于在工艺室中旋转和平移衬底的系统和方法
CN109659259A (zh) * 2018-12-12 2019-04-19 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 片盒取放机构及半导体湿法工艺浸泡槽抖动装置
CN109659259B (zh) * 2018-12-12 2020-06-12 北京半导体专用设备研究所(中国电子科技集团公司第四十五研究所) 片盒取放机构及半导体湿法工艺浸泡槽抖动装置
CN110653795A (zh) * 2019-09-11 2020-01-07 汕头大学 一种双臂机器人

Also Published As

Publication number Publication date
WO2003092050A2 (en) 2003-11-06
AU2003234206A1 (en) 2003-11-10
US20030202865A1 (en) 2003-10-30
WO2003092050A3 (en) 2003-12-11
KR20040099467A (ko) 2004-11-26

Similar Documents

Publication Publication Date Title
CN1656597A (zh) 衬底传递装置
US20200388523A1 (en) Wafer aligner
US20240066685A1 (en) Substrate transport apparatus with multiple movable arms utilizing a mechanical switch mechanism
US7246985B2 (en) Work-piece processing system
CN102414810A (zh) 自动基板加载站
CN1795533A (zh) 具有载荷锁定装置和缓冲器的工件处理系统
EP1052681B1 (en) Apparatus for processing wafers
US20050111936A1 (en) Multi-chamber system
US9812343B2 (en) Load station
TWI514499B (zh) Drive device and substrate processing system
US20210291357A1 (en) Substrate transport apparatus with independent accessory feedthrough
JP3380570B2 (ja) 搬送装置
JPH0927536A (ja) ロ−ドロック室内に基板位置合わせ機構を有するイオン注入装置
CN112470266A (zh) 衬底输送设备
JP2587864Y2 (ja) 基板処理装置
US20160172224A1 (en) Substrate transfer unit, substrate treating apparatus including the same, and substrate treating method

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication