CN1501435A - Gas injection apparatus for semiconductor processing system - Google Patents

Gas injection apparatus for semiconductor processing system Download PDF

Info

Publication number
CN1501435A
CN1501435A CNA031390919A CN03139091A CN1501435A CN 1501435 A CN1501435 A CN 1501435A CN A031390919 A CNA031390919 A CN A031390919A CN 03139091 A CN03139091 A CN 03139091A CN 1501435 A CN1501435 A CN 1501435A
Authority
CN
China
Prior art keywords
gas
reaction chamber
gas passage
injection member
air inlet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA031390919A
Other languages
Chinese (zh)
Other versions
CN100336165C (en
Inventor
̩
金泰完
N���ж����з�
尤里·N·托尔马切夫
Y
马东俊
瑟吉·Y·纳瓦拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN1501435A publication Critical patent/CN1501435A/en
Application granted granted Critical
Publication of CN100336165C publication Critical patent/CN100336165C/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

A gas injection apparatus for injecting a reactive gas into a reaction chamber of a semiconductor processing system includes an injector in contact with an inner surface of a wall of the reaction chamber. The injector has a plurality of nozzles through which the reactive gas is injected into the reaction chamber. A gas inlet penetrates the wall of the reaction chamber. A manifold is disposed between the wall of the reaction chamber and the injector, and supplies the reactive gas flowing through the gas inlet to the nozzles. Gas channels in the manifold are arranged on a plurality of levels to equalize the lengths of gas paths connecting the gas inlet to each of the plurality of nozzles. This configuration makes the flow rate of reactive gas supplied through each of the plurality of nozzles to the reaction chamber uniform.

Description

The gas injection device that is used for semiconductor processing system
Technical field
The present invention relates to a kind of semiconductor processing system, especially relate to a kind of being used for reacting gas is infeeded gas injection device in the reaction chamber, described reacting gas is used for semiconductor chip is handled.
Background technology
In recent years, in the micromachining technology that has been widely used on semiconductor chip, carrying out such as the semiconductor machining system of plasma process system or magnetron sputtering system, make semiconductor device or flat display board.For example, plasma-enhanced chemical gas deposition (PECVD) system or high-density plasma CVD (HDP-CVD) system have been widely used in depositing a material layer by chemical vapor deposition (CVD) on substrate.Magnetron sputtering system has been widely used in depositing a material layer by physical vapor deposition (PCD) on substrate.
The developing direction of semiconductor processing system is the various operational characteristiies that can be suitable for being used for semiconductor processes.Especially, along with the increase of substrate diameter, how the research on semiconductor processing system in recent years handles the output of large-sized substrate to obtain to improve if concentrating on.That is to say,, for processing of wafers technology, especially need to improve the uniformity on wafer along with wafer size is changed to 300 millimeters from 200 millimeters.For the uniformity that obtains to expect, the most important thing is when the gas injection device that is used for semiconductor processing system by infeeds reacting gas in the reaction chamber, to make this reacting gas be evenly distributed in the entire reaction chamber.
Therefore, in order to realize the even distribution of gas by gas injection device, worked out the gas injection device of number of different types up to now.As an example, U.S. Patent No. 5522931 has been described a kind of gas injection device, and it has a plurality of nozzles that are provided with a plurality of ranks perpendicular to the direction of substrate along.Compare with more low-level gas distributing nozzle, the extended distance at the gas distributing nozzle orientating reaction chamber middle part of higher level is longer.Perhaps, this gas injection device is realizing that gas is effectively aspect the distribution evenly, but because the existence of that part of nozzle that the orientating reaction chamber interior is extended flows to substrate so be easy to blocks ions stream.
As the another one example, U.S. Patent No. 6432831 has been described a kind of shower-head type gas injection device.In this gas injection device, gas is fed into a shower nozzle by dividing plate and perforation, so that provide uniform gas pressure to distribute at the whole rear side of shower nozzle.Another example of shower-head type gas injection device is open in U.S. Patent No. 6415736.In this gas injection device, the hole dimension on the dividing plate can change, and obtains uniform gas pressure in order to the rear side at shower nozzle and distributes.But, because the scope of gas pressure and flow is very wide, so be difficult to these systems are optimized.The another one shortcoming is that the shower-head type gas injection device only is suitable for the parallel plate type plasma reactor, and can't be applied to magnetron sputtering system.Also have, when being used for an electron cyclotron resonance formula (ECR) plasma reactor, the shower nozzle that is positioned at reaction chamber top can stop the propagation of microwave.For ecr plasma reactor and other practical application, use a kind of ring-type gas injection device usually, the example of this ring-type gas injection device is shown in Figure 1.
Ring-type gas injection device 10 shown in Figure 1 has a gas passage 14 that is formed at wherein, thereby make reacting gas can pass this gas passage 14, an air inlet 12 that is connected in its periphery on the gas passage 14, and a plurality of nozzle 16 that is positioned at its place in week.Described a plurality of nozzle 16 is evenly spaced apart along gas injection device 10 in interior week.
Gas injection device 10 is configured to define a gas passage, and this gas passage connects in air inlets 12 and a plurality of nozzle 16 each by gas passage 14.For each nozzle 16, all has each path of different length.Owing to after the wall of reacting gas and gas passage 14 bumps, can produce pressure drop, so the difference of gas passage can cause the reaction gas pressure at each nozzle place there are differences.This will make that the gas flow that passes each nozzle 16 is inhomogeneous.
Fig. 2 is a curve chart, shows the gas pressure and the flow at each nozzle place in gas injection device shown in Figure 1.In the figure, air inlet 12 is marked as digital " 0 ", and nozzle is labeled as numeral " 1 " to " 16 " successively with their orders that is provided with in week in the gas injection device.
Illustrating among Fig. 2 when gas pressure and flow being carried out result calculated during with the flow feed O2 gas of per minute 100 standard cubic centimeters (sccm) under the condition that at pressure in reaction chamber is 10mTorr.Gas passage has one 1 * 4 millimeter rectangular cross-section, and the diameter of each nozzle is 0.5 millimeter, and length is 2 millimeters.It is 241 millimeters ring-type that gas passage is made into a diameter.
As the figure from Fig. 2 find out, gas pressure descends along a direction that deviates from air inlet, that is to say along with the increase of gaseous path length to descend.Approximately be away from four times of the nozzle place gas flow of air inlet near the gas flow at the nozzle place of air inlet.By this way, because the length that connects the gas passage of air inlet and each nozzle by gas passage there are differences, so conventional ring-type gas injection device can make the gas pressure at each nozzle place and flow extremely inhomogeneous.
The design of gas injection device and structure can greatly influence in the processed on-chip uniformity.Be well known that along with the increase of gas injection device size and dwindling of gas channel cross-section area, it is big that the unevenness of distribution of gas can become.In order can blocks ions not flow and microwave propagation, desirable is to make gas injection device thin as much as possible.Although this structural requirement gas passage has the little area of section, still there is the uneven problem of pressure distribution in the big gas injection device that has thin gas passage.
Therefore, along with the trend that wafer size in recent years constantly increases, make and utilize conventional gas injection device more to be difficult to guarantee to reaction chamber distributing gas equably.This will damage the uniformity on whole processed semiconductor chip, correspondingly obviously the quality of degrade and output again.
Summary of the invention
The invention provides a kind of gas injection device that is used for semiconductor processing system, it has manifold, and this manifold is configured such that the equal in length of the gas passage that connects air inlet and each nozzle, so that to the reaction chamber reacting gas that distributes equably.
The present invention also provides a kind of gas injection device, and it goes for multiple semiconductor processing system, such as PECVD system, HDP-CVD system and magnetron sputtering system.
The present invention also provides a kind of gas injection device, and it goes for having the semiconductor processing system of big reaction chamber, and in order to no matter how size, gas pressure and the flow of reaction chamber change, even distribution that all can realization response gas.
According to an aspect of the present invention, provide a kind of gas injection device, be used for reacting gas is injected in the reaction chamber of semiconductor processing system at this.This gas injection device comprises: injection member, this injection member are configured to come in contact with the chamber wall inner surface of reaction chamber, and have a plurality of nozzles that pass it, and by these nozzles, reacting gas is injected in the reaction chamber; Air inlet, this air inlet run through the chamber wall of reaction chamber; And manifold, this manifold is arranged between the chamber wall and injection member of reaction chamber, and the reacting gas that is used for flowing into by air inlet is fed in each of described a plurality of nozzles.Described manifold is configured to have the gas passage that is arranged on a plurality of ranks, these gas passages are used for make connecting each the equal in length of gas passage of air inlet and a plurality of nozzles, make that thus to be fed to the gas flow of reaction chamber by in described a plurality of nozzles each even.
At this, other gas passage of level is divided into two arms at the place, arbitrary end of the gas passage of next higher level in a plurality of other gas passages of level, and each arm all has identical length.The gas passage of highest level is divided into two arms, and each arm all has identical length with the continuous part place of air inlet (outlet).In described a plurality of nozzle each all is connected on arbitrary end of other gas passage of lowermost level.On the wall inner surface of the chamber of reaction chamber, be formed with groove, and injection member is inserted in this groove.Described gas passage can be formed on the injection member surface that the chamber wall inner surface with reaction chamber comes in contact, and is the groove shape with desired depth.Selectively, described gas passage can be formed on the chamber wall inner surface of reaction chamber, is the groove shape with desired depth.In this case, injection member can be made by a kind of dielectric liner such as ceramic material (adielectric liner).
Along the circumference of injection member, the outlet of described nozzle is evenly spaced apart on the injection member surface relative with the inside of reaction chamber.
According to the first embodiment of the present invention, injection member is flat ring-type, and is configured to come in contact with the bottom of reaction chamber upper wall.Also have, described gas passage is with different rank settings.
According to a second embodiment of the present invention, it is cylindric that injection member is, and be configured to come in contact with the reaction chamber inside surface of side wall.
A third embodiment in accordance with the invention, injection member are coniform, and are configured to come in contact with the inclined inner surface of reaction chamber upper wall.
In the second and the 3rd embodiment, described gas passage on the periphery of injection member along the short transverse of this injection member with different rank settings, thereby make and watch that high-level gas passage is positioned at the position that is lower than the low level gas passage from air inlet.
Described gas injection device can also comprise a shower-head type injection member, and this shower-head type injection member is set at the place, top of reaction chamber, is used for reacting gas is fed to the middle part of reaction chamber.
Pass through previous constructions, the present invention can provide a kind of like this gas injection device, promptly regardless of size, gas pressure and the flow of reaction chamber, it all can be identical by each the length of gas passage that make to connect in air inlet and the described a plurality of nozzles, the height of realizing gas flow is even, and go for various semiconductor processing systems, comprise plasma process system and magnetron sputtering system.
Description of drawings
At length the preferred embodiments of the present invention are described by the reference accompanying drawing, aforementioned purpose of the present invention and advantage will become more clear, wherein:
Fig. 1 is a sectional perspective view that is used for the conventional ring-type gas injection device of semiconductor processing system;
Fig. 2 is a figure, shows the gas pressure and the flow at each nozzle place in gas injection device shown in Figure 1;
Fig. 3 A shows an essential structure that is used for the gas injection device of semiconductor processing system according to the present invention;
Fig. 3 B be gas injection device shown in Figure 2 along line A-A ' through amplifying sectional elevation;
Fig. 4 is a figure, show one according to gas injection device of the present invention in the gas pressure and the flow at each nozzle place; And
Fig. 5-the 9th, the gas injection device of first to the 5th embodiment and the sectional elevation that has adopted their plasma process system according to the present invention.
Embodiment
More all sidedly the gas injection device that is used for semiconductor processing system according to the preferred embodiment of the present invention is described below with reference to accompanying drawings.
With reference to Fig. 3 A and 3B, gas injection device according to the present invention comprises air inlet 110, reacting gas flows into from the outside by this air inlet 110, a plurality of nozzles 130, reacting gas is injected in the reaction chamber by these nozzles 130, and manifold 120, reacting gas is fed to from air inlet 110 in a plurality of nozzles 130 each by this manifold 120.Manifold 120 is constructed such that each the equal in length of gas passage that connects in air inlet 110 and a plurality of nozzle 130.For this reason, manifold 120 includes the gas passage 121-124 that is arranged on a plurality of ranks.
Air inlet 110 is made into to run through the chamber wall of reaction chamber, and a plurality of nozzle 130 is formed on the ring-type injection member 140, and the chamber wall inner surface that this ring-type injection member 140 is installed into reaction chamber comes in contact.Gas passage 121-124 on the manifold 120 is set between the chamber wall of injection member 140 and reaction chamber.Specifically, gas passage 121-124 can be formed on the surface of injection member 140, that is to say on the surface that the inner surface with reaction chamber chamber wall comes in contact, and is the groove shape with desired depth.As will be described later, gas passage 121-124 also can be formed on the inner surface of reaction chamber chamber wall, is the groove shape with desired depth.
In a plurality of nozzles 130 each all is made into to run through injection member 140 from the inside at two end orientating reaction chambers of other each gas passage 124 of lowermost level.That is to say that the inlet of each nozzle 130 all is connected to and is on other gas passage 124 of lowermost level, its inside that exports equal orientating reaction chamber is opened wide simultaneously.The outlet of described nozzle 130 on another surface of injection member 140, promptly with the inside facing surfaces of reaction chamber on, evenly spaced apart along circumference.
As previously mentioned, gas passage 121-124 is set on a plurality of ranks, preferably is set at as shown in Fig. 3 A on four ranks.Be divided into the arm of both direction with first gas passage 121 of the first rank setting from air inlet 110, each arm is all along the identical length of the circumferential extension of injection member 140, and wherein said first rank is a highest level.Be divided into the arm of both direction from arbitrary end of first gas passage 121 with second gas passage 122 of second level setting, each arm is all along the identical length of the circumferential extension of injection member 140.The 3rd gas passage 123 that is not provided with the third level is divided into the arm of both direction from arbitrary end of each second gas passage, each arm is all along the identical length of the circumferential extension of injection member 140.The 4th gas passage 124 that is not provided with the fourth stage forms in mode as hereinbefore, and the wherein said fourth stage is not minimum rank.Nozzle 130 is set at the place, end of each the 4th gas passage 124 as described above.If being used for the number of levels of gas passage 121-124 is 4 as described above, the number of nozzle 130 is 24 so, promptly 16.Therefore, if other number of level is n, the number of nozzle 130 is 2n just so.Being arranged on other gas passage of a plurality of level 121-124 makes and connects each the equal in length of gas passage in air inlets 110 and a plurality of nozzle 130.
Fig. 4 is a curve chart, show one according to gas injection device of the present invention in the gas pressure and the flow at each nozzle place.In the figure, air inlet is marked as " 0 ", the end of other gas passage of the first order is marked as " a1 " and " a2 ", and the end of the gas passage of second level is marked as " b1 " to " b4 ", and the end of other gas passage of the third level is marked as " c1 " to " c8 ".Described a plurality of nozzle is labeled as numeral " 1 " to " 16 " with them successively along the circumference of the injection member setting order on the injection member inboard.
In order to compare, among Fig. 4 illustrate with Fig. 2 in gas pressure and flow are carried out result calculated under the identical state, wherein O2 gas is to supply with the flow of per minute 100 standard cubic centimeters (sccm) under the condition of 10mTorr at pressure in reaction chamber.Each gas passage all has one 1 * 4 millimeter rectangular cross-section, and the diameter of each nozzle is 0.5 millimeter, and length is 2 millimeters.The diameter of first to fourth grade of other gas passage is set to 282 millimeters, 268 millimeters, 255 millimeters and 241 millimeters respectively.
As finding out, because can the generation pressure drop after the inwall of reacting gas and gas passage bumps, so gas pressure can be along the gas passage descended deviating from the direction of air inlet from figure shown in Fig. 4.But, because the identical length of the gas passage of arbitrary end of connection air inlet and other gas passage of each grade etc., so each gas passage all can experience equal pressure drop.Therefore, this will make the gas pressure at each place in described a plurality of nozzles equate.Also have, the gas flow that is infeeded in the reaction chamber by described a plurality of nozzles equates.When such as shown in Figure 4 gas flow by the air inlet feed is 100sccm, be 100/16sccm by each gas flow that injects in reaction chamber in 16 nozzles, i.e. 6.25sccm.
Aforementioned assumed condition is that 100sccm and the pressure in reaction chamber are 10mTorr by the gas flow that air inlet injected promptly, is the typical case in high-density plasma CVD (HDP-CVD) system.But even variation has taken place the pressure in gas flow and the reaction chamber, the reacting gas that also can keep being infeeded in the reaction chamber according to gas injection device of the present invention evenly distributes.Also have,, utilize the aforementioned structure that is provided with described gas passage so, can make being evenly distributed of reacting gas if variation has taken place the diameter of other gas passage of each grade.Therefore, can under the condition that is not subjected to reaction chamber size, gas pressure and flow restriction, reacting gas evenly be distributed, can make described gas injection device be applicable to semiconductor processing system thus with big reaction chamber according to gas injection device of the present invention.
Since lead between the gas passage of each in each nozzle pressure evenly distribute, so two kinds or more of gas group branch is evenly mixed in each gas passage, thereby make and to be evenly distributed in the entire reaction chamber by the mixture of single gas injection device two kinds or more of gases.
Below with reference to Fig. 5-9 pair according to the preferred embodiment of the invention gas injection device be described.Reference numeral identical in different accompanying drawings is represented identical member.
Fig. 5 shows a gas injection device according to first embodiment of the invention.Shown in Figure 5 this first embodiment is such example, promptly under without the condition of any change gas injection device shown in Fig. 3 A is applied to a plasma process system.This plasma treatment system comprises a reaction chamber 180, and this reaction chamber 180 has a space that is used to form plasma.For the inside that makes reaction chamber 180 remains in vacuum state, on the diapire 181 of reaction chamber 180, be formed with a vacuum pumping hole 188, this vacuum pumping hole 188 is connected to a vacuum pump (not shown).An electrostatic chuck 184 is set at the place, bottom of reaction chamber 180, in order to support a wafer W, while plasma source 186 is set at the place, top of reaction chamber 180, in order to the reacting gass that inject in the reaction chamber 180 are carried out ionization and produce plasma.For magnetron sputtering system, plasma source 186 can be replaced by a magnetron rifle (a magnetron gun).
Gas injection device according to first embodiment of the invention comprises an air inlet 110, and this air inlet 110 runs through upper wall 182 and flat ring-type injection member 140 of reaction chamber 180, and this injection member 140 is attached on the bottom of upper wall 182 of reaction chamber 180.Injection member 140 has manifold 120, and this manifold 120 comprises gas passage 121-124 and a plurality of nozzle 130 that is arranged on a plurality of ranks.Gas passage 121-124 is arranged on the different stage on the top surface of injection member 140 successively, thereby makes gas passage 121 near the periphery of injection member 140, and gas passage 124 is near interior week of injection member 140.Details configuration, working method and the effect of described gas injection device are all as previously mentioned.
Be inserted in the groove 182a according to the injection member in the gas injection device of the present invention 140, this groove 182a forms on the bottom of upper wall 182 of reaction chamber 180.Therefore, owing to there is not the projection of orientating reaction chamber 180 inside, can not stop from the ion flow of plasma source 186 towards the wafer W motion.
Fig. 6 shows a gas injection device according to second embodiment of the invention.Shown in Figure 6 this second embodiment is such example, and promptly gas injection device shown in Fig. 3 A is modified to and has drum, and can be applied to a plasma process system.Gas injection device according to second embodiment of the invention comprises an air inlet 210, and this air inlet 210 runs through sidewall 183 and cylindrical shape injection member 240 of reaction chamber 180, and this injection member 240 is attached on the inner surface of sidewall 1 83 of reaction chamber 180.Injection member 240 has manifold 220, and this manifold 220 comprises gas passage 221-224 and a plurality of nozzle 230 that is arranged on a plurality of ranks.Injection member 240 can be inserted in the groove 183a, and this groove 183a forms on the inner surface of sidewall 183 of reaction chamber 180.
Gas passage 221-224 is made with the groove shape of desired depth along the periphery of injection member 240.Gas passage 221 to 224 short transverse of injection member 240 with different rank settings in the periphery upper edge of injection member 240, thereby makes and to watch from air inlet 210, and high-level gas passage is positioned at a position that is lower than the low level gas passage.The structure that wherein is provided with gas passage 221-224 is as described in Fig. 3 A.
Described a plurality of nozzle 230 is made into to run through injection member 240 from the inside of two end orientating reaction chambers 180 of other each gas passage 224 of lowermost level.The outlet of described a plurality of nozzle 230 is evenly spaced apart along injection member 240 in interior week.
Fig. 7 shows a gas injection device according to third embodiment of the invention.The 3rd embodiment shown in Figure 7 is such example, and promptly gas injection device is modified to cone shape shown in Fig. 3 A, and can be applied to plasma process system.Gas injection device according to third embodiment of the invention comprises an air inlet 310, this air inlet 310 runs through the upper wall 282 of reaction chamber 280, with an injection member 340, this injection member 340 is cone shape, is configured to be complementary with the conical inclination inner surface of the upper wall 282 of reaction chamber 280.Injection member 340 has manifold 320, and this manifold 320 comprises gas passage 321-324 and a plurality of nozzle 330 that is arranged on a plurality of ranks.Injection member 340 comes in contact with the inclined inner surface of the upper wall 282 of reaction chamber 280, and is supported by strutting piece 350.Strutting piece 350 is fixed on the upper wall 282 of reaction chamber 280 by screw 352.Reference numeral 284,286 and 288 refers to electrostatic chuck, plasma source and the vacuum pumping hole that is used for supporting wafers W respectively.
Gas passage 321-324 is made with the groove shape of desired depth along the outer surface of injection member 340.They along the inclined outer surface of conical injection member 340 with different rank settings, thereby make and watch that high-level gas passage is positioned at the position that is lower than the low level gas passage from air inlet 310.The structure that wherein is provided with gas passage 321-324 is as described in Fig. 3 A.
Described a plurality of nozzle 330 is made into to run through injection member 340 from the inside of two end orientating reaction chambers 280 of other each gas passage 324 of lowermost level.The outlet of described a plurality of nozzle 330 is evenly spaced apart along injection member 340 in interior week.
Fig. 8 shows a gas injection device according to fourth embodiment of the invention.Except the position that forms manifold, gas injection device has identical construction shown in this gas injection device shown in Figure 8 and Fig. 7.Gas injection device according to this 4th embodiment comprises an air inlet 410, this air inlet 410 runs through the upper wall 282 of reaction chamber 280, with an injection member 440, this injection member 440 is cone shape, and this cone shape is configured to be complementary with the conical inclination inner surface of the upper wall 282 of reaction chamber 280.Injection member 440 is supported by strutting piece 450, and strutting piece 450 is fixed on the upper wall 282 of reaction chamber 280 by screw 452.A plurality of other gas passage of the level 421-424 that are used to constitute manifold 420 are made with the groove shape of desired depth on the inclined inner surface of the upper wall 282 of reaction chamber 280, and are not that outer surface along injection member 440 is shaped.Gas passage 321-324 similar and according to third embodiment of the invention is identical for the arrangement of gas passage 421-424.Injection member 440 can be made by a kind of insulating cell that can resist sputter, such as being made by ceramic material.Also can produce semiconductor processes under the situation of obvious negative effect in the slightest sputter, injection member 440 is useful.
Each nozzle 430 all is made into the precalculated position from injection member 440 outer surfaces, and corresponding to two ends of other each gas passage 424 of lowermost level, this injection member 440 is run through in the inside of orientating reaction chamber 280.The outlet of described a plurality of nozzle 430 is evenly spaced apart along injection member 440 in interior week.
Fig. 9 shows a gas injection device according to fifth embodiment of the invention.With reference to Fig. 9, comprise an air inlet 310 and a conical injection member 340 according to the gas injection device of fifth embodiment of the invention, they all have and configuration identical shown in Fig. 7.Conical injection member 340 has manifold 320, and this manifold 320 comprises gas passage 321-324 and a plurality of nozzle 330 that is arranged on a plurality of ranks.Because these members have and identical construction shown in Fig. 7, so will omit detailed description to them at this.
Gas injection device according to fifth embodiment of the invention also comprises a shower-head type injection member 560, and this injection member 560 is set at the place, top of reaction chamber 280, is used for the middle part feed with reacting gas orientating reaction chamber 280.This structure can improve along the reaction chamber 280 distribution of gas uniformity radially.Equally, shower-head type injection member 560 can be added among first to fourth embodiment of the present invention.
As previously mentioned, the gas injection device that is used for semiconductor processing system according to the present invention has following advantage.
At first, owing to make to connect the identical length etc. of the gas passage of air inlet and a plurality of nozzles by manifold, so the gas pressure and the flow that are infeeded for each nozzle in the reaction chamber all equate, have improved the uniformity in the semiconductor chip processing procedure thus.
The second, no matter reaction chamber size, gas pressure and flow how, all can make reacting gas evenly distribute, make it can be applicable to the semiconductor processing system that has big reaction chamber according to gas injection device of the present invention thus.
The 3rd, can blocks ions not flow or microwave propagation according to gas injection device of the present invention, because it comes in contact with the chamber wall inner surface of reaction chamber or matches, and the projection that does not therefore have the orientating reaction chamber interior, can be applied to various semiconductor processing systems thus, such as plasma-enhanced CVD (PECVD) system, HDP-CVD system and magnetron sputtering system.
The 4th, the present invention is providing uniform pressure distribution towards between each bar gas passage of a plurality of nozzles, two kinds or more of gas components are evenly mixed in described gas passage, make it possible to thus be evenly distributed in the reaction chamber by the mixture of single gas injection device with two kinds or more of gases.
Although the present invention has been carried out certain illustrative and description with reference to the preferred embodiments of the present invention; but those skilled in the art it will be understood that; under the condition that does not break away from the technology of the present invention design that is defined by the following claims and protection range, can carry out multiple change to it in form and details.For example, can make amendment according to the type of semiconductor processing system, the shape and size and the similar factor of reaction chamber according to the structure of gas injection device of the present invention.Therefore, technical scope of the present invention can utilize claims to be determined.

Claims (19)

1, a kind of gas injection device is used for reacting gas is injected in the reaction chamber of semiconductor processing system, and this gas injection device comprises:
Injection member, this injection member are configured to come in contact with the chamber wall inner surface of reaction chamber, and have a plurality of nozzles that penetrate it, and by these nozzles, reacting gas is injected in the reaction chamber;
Air inlet, this air inlet run through the chamber wall of reaction chamber; And
Manifold, this manifold are set between the chamber wall and injection member of reaction chamber, are used for the reacting gas that flows into by air inlet is fed to each of described a plurality of nozzles;
Wherein, described manifold is configured to have the gas passage that is arranged on a plurality of ranks, these gas passages are used to make the equal in length of the gas passage that connects air inlet and described a plurality of nozzles, make that thus to infeed the gas flow of reaction chamber by each of described a plurality of nozzles even.
2, the device described in claim 1, wherein, other gas passage of level is divided into two arms at the place, arbitrary end of the gas passage of next higher level in described a plurality of other gas passage of level, each arm all has identical length, the gas passage of highest level is divided into two arms, each arm all has identical length at the part place that links to each other with air inlet, and in described a plurality of nozzle each all is connected on arbitrary end of other gas passage of lowermost level.
3, the device described in claim 2, wherein, described gas passage is set on four ranks.
4, the device described in claim 1 wherein, be formed with groove on the wall inner surface of the chamber of described reaction chamber, and described injection member is inserted in this groove.
5, the device described in claim 1, wherein, described gas passage is formed on the injection member surface that the chamber wall inner surface with reaction chamber comes in contact, and is the groove shape with desired depth.
6, the device described in claim 1, wherein, described gas passage is formed on the chamber wall inner surface of reaction chamber, is the groove shape with desired depth.
7, the device described in claim 6, wherein, described injection member is made by a kind of dielectric liner.
8, the device described in claim 7, wherein, described dielectric liner is made by a kind of ceramic material.
9, the device described in claim 1, wherein, along the circumference of described injection member, the outlet of described a plurality of nozzles is evenly spaced apart on the injection member surface relative with the inside of reaction chamber.
10, the device described in claim 1, wherein, described injection member is flat ring-type, and is configured to come in contact with the bottom of reaction chamber upper wall.
11, the device described in claim 10, wherein, described gas passage is with different rank settings, thereby makes and watch from air inlet, high-level gas passage is comparatively near the periphery of injection member, and the low level gas passage is comparatively near interior week of injection member.
12, the device described in claim 1, wherein, described injection member is cylindric, and is configured to come in contact with the reaction chamber inside surface of side wall.
13, the device described in claim 12, wherein, described gas passage on the periphery of injection member with different rank settings, thereby make and to watch that high-level gas passage is positioned at the position that is lower than the low level gas passage from air inlet.
14, the device described in claim 1, wherein, described injection member is coniform, and is configured to come in contact with the inclined inner surface of reaction chamber upper wall.
15, the device described in claim 14, wherein, described gas passage on the periphery of injection member with different rank settings, thereby make and to watch that high-level gas passage is positioned at the position that is lower than the low level gas passage from air inlet.
16, the device described in claim 14, wherein, described injection member is by supports support, and described strutting piece is fixed on the chamber wall of reaction chamber.
17, the device described in claim 1 also comprises the shower-head type injection member, and this injection member is set at the place, top of reaction chamber, is used for the middle part feed with reacting gas orientating reaction chamber.
18, the device described in claim 1, wherein, two kinds or more of reacting gass mix when passing described manifold, and the mixture of these two kinds or more of reacting gass is injected in the reaction chamber by described a plurality of nozzles.
19, the device described in claim 1, wherein, described gas injection device is applicable to plasma process system or magnetron sputtering system.
CNB031390919A 2002-11-15 2003-09-30 Gas injection apparatus for semiconductor processing system Expired - Fee Related CN100336165C (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR1020020071047A KR100862658B1 (en) 2002-11-15 2002-11-15 Gas injection apparatus for semiconductor processing system
KR71047/02 2002-11-15
KR71047/2002 2002-11-15

Publications (2)

Publication Number Publication Date
CN1501435A true CN1501435A (en) 2004-06-02
CN100336165C CN100336165C (en) 2007-09-05

Family

ID=32322234

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB031390919A Expired - Fee Related CN100336165C (en) 2002-11-15 2003-09-30 Gas injection apparatus for semiconductor processing system

Country Status (4)

Country Link
US (1) US7252716B2 (en)
JP (1) JP2004172622A (en)
KR (1) KR100862658B1 (en)
CN (1) CN100336165C (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101738088B (en) * 2008-11-20 2011-09-07 中芯国际集成电路制造(上海)有限公司 Furnace tube heat insulation device and furnace tube preventive maintenance method using same
CN102206813A (en) * 2010-08-20 2011-10-05 浙江正泰太阳能科技有限公司 Apparatus, method and system of gas mixing in PECVD (Plasma Enhanced Chemical Vapor Deposition) system
CN103510071A (en) * 2012-06-21 2014-01-15 Tel太阳能公司 System, method and device for equalized gas distribution of processing modules of odd number
CN104822866A (en) * 2012-11-27 2015-08-05 索泰克公司 Deposition systems having interchangeable gas injectors and related methods
CN105190841A (en) * 2013-03-14 2015-12-23 应用材料公司 Film forming method using epitaxial growth and epitaxial growth apparatus
CN104170067B (en) * 2012-04-26 2017-03-15 应用材料公司 Proportional and uniform controlled airflow transmission for plasma dry etching machines
CN107221487A (en) * 2013-03-15 2017-09-29 应用材料公司 The plasma reactor injected with high degree of symmetry quadruple formula gas
CN108149223A (en) * 2017-12-27 2018-06-12 长沙新材料产业研究院有限公司 A kind of MPCVD cavity body structures and MPCVD equipment
CN110079789A (en) * 2008-12-04 2019-08-02 威科仪器有限公司 Air inlet element and its manufacturing method for chemical vapor deposition
US10689757B2 (en) 2017-01-03 2020-06-23 Applied Materials, Inc. Gas injection apparatus with heating channels
CN114959647A (en) * 2022-04-07 2022-08-30 江苏微导纳米科技股份有限公司 Thin film deposition device and air inlet mechanism thereof

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4553471B2 (en) * 2000-09-19 2010-09-29 東京エレクトロン株式会社 Processing apparatus and processing system
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100455430B1 (en) * 2002-03-29 2004-11-06 주식회사 엘지이아이 Cooling apparatus for surface treatment device of heat exchanger and manufacturing method thereof
JP3861036B2 (en) * 2002-08-09 2006-12-20 三菱重工業株式会社 Plasma CVD equipment
KR101070353B1 (en) * 2003-06-25 2011-10-05 주성엔지니어링(주) Gas injector for use in semiconductor fabrication apparatus
KR100614648B1 (en) * 2004-07-15 2006-08-23 삼성전자주식회사 Apparatus for treating substrates used in manufacturing semiconductor devices
KR20060059305A (en) * 2004-11-26 2006-06-01 삼성전자주식회사 Semiconductor processing equipment
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
KR101332739B1 (en) * 2005-01-18 2013-11-25 에이에스엠 아메리카, 인코포레이티드 Reaction system for growing a thin film
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
JP5179476B2 (en) * 2007-04-17 2013-04-10 株式会社アルバック Deposition equipment
WO2009049020A2 (en) * 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US8298338B2 (en) * 2007-12-26 2012-10-30 Samsung Electronics Co., Ltd. Chemical vapor deposition apparatus
JP4731580B2 (en) * 2008-03-27 2011-07-27 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP2009302324A (en) * 2008-06-13 2009-12-24 Tokyo Electron Ltd Gas ring, semiconductor substrate processing device, and semiconductor substrate processing method
US20100101491A1 (en) * 2008-10-29 2010-04-29 Asm Japan K.K. Wafer lift pins suspended and supported at underside of susceptor
US8293013B2 (en) * 2008-12-30 2012-10-23 Intermolecular, Inc. Dual path gas distribution device
JP3178295U (en) * 2009-09-10 2012-09-13 ラム リサーチ コーポレーション Replaceable upper chamber parts for plasma processing equipment
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR20120035559A (en) * 2010-10-06 2012-04-16 주식회사 유진테크 Substrate processing apparatus including semicircle-type antenna
KR101165326B1 (en) * 2010-10-06 2012-07-18 주식회사 유진테크 Substrate processing apparatus supplying process gas using symmetric inlet and outlet
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
JP5902896B2 (en) * 2011-07-08 2016-04-13 東京エレクトロン株式会社 Substrate processing equipment
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
HUP1100436A2 (en) * 2011-08-15 2013-02-28 Ecosolifer Ag Gas flow system for using in reaction chamber
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP2014057047A (en) * 2012-08-10 2014-03-27 Tokyo Electron Ltd Substrate processing apparatus and gas supply apparatus
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9536710B2 (en) * 2013-02-25 2017-01-03 Applied Materials, Inc. Tunable gas delivery assembly with internal diffuser and angular injection
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
CN107424901B (en) * 2013-03-12 2019-06-11 应用材料公司 Multizone gas fill assembly with azimuth and radial distribution control
US9957601B2 (en) * 2013-03-15 2018-05-01 Applied Materials, Inc. Apparatus for gas injection in a physical vapor deposition chamber
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR102156795B1 (en) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Deposition apparatus
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) * 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US10269599B2 (en) * 2014-06-20 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor manufacturing apparatus
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
JP6258184B2 (en) * 2014-11-13 2018-01-10 東京エレクトロン株式会社 Substrate processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
KR20180008907A (en) * 2015-06-12 2018-01-24 어플라이드 머티어리얼스, 인코포레이티드 Injector for semiconductor epitaxial growth
CN107835868B (en) * 2015-06-17 2020-04-10 应用材料公司 Gas control in a processing chamber
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
JP7166759B2 (en) * 2015-12-04 2022-11-08 アプライド マテリアルズ インコーポレイテッド Advanced coating methods and materials to prevent arcing in HDP-CVD chambers
CN106876299B (en) * 2015-12-11 2019-08-23 北京北方华创微电子装备有限公司 Semiconductor processing equipment
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6696322B2 (en) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 Gas processing apparatus, gas processing method and storage medium
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US10665460B2 (en) * 2016-09-05 2020-05-26 Shin-Etsu Handotai Co., Ltd. Vapor phase growth apparatus, method of manufacturing epitaxial wafer, and attachment for vapor phase growth apparatus
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
JP6796450B2 (en) * 2016-10-25 2020-12-09 東京エレクトロン株式会社 Plasma processing equipment
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (en) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
KR102269479B1 (en) * 2016-12-08 2021-06-24 어플라이드 머티어리얼스, 인코포레이티드 Temporal Atomic Layer Deposition Processing Chamber
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
CN207031544U (en) * 2017-05-03 2018-02-23 深圳市捷佳伟创新能源装备股份有限公司 A kind of PECVD device fire door air intake structure
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
JP6680271B2 (en) * 2017-06-23 2020-04-15 日新イオン機器株式会社 Plasma source
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102560283B1 (en) * 2018-01-24 2023-07-26 삼성전자주식회사 Apparatus and method for manufacturing and designing a shower head
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102081705B1 (en) * 2018-05-31 2020-02-27 세메스 주식회사 Method and Apparatus for treating substrate
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020027980A1 (en) 2018-07-31 2020-02-06 Applied Materials, Inc. Gas box for cvd chamber
WO2020046567A1 (en) 2018-08-29 2020-03-05 Applied Materials, Inc. Chamber injector
JP7119779B2 (en) * 2018-08-30 2022-08-17 住友金属鉱山株式会社 Deposition apparatus and deposition method
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
KR102151810B1 (en) * 2018-10-01 2020-09-04 세메스 주식회사 Substrate treatment apparatus
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
KR102641752B1 (en) * 2018-11-21 2024-03-04 삼성전자주식회사 Gas injection module, substrate processing apparatus and method for manufacturing semiconductor device using the same
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11694879B2 (en) 2018-12-07 2023-07-04 Applied Materials, Inc. Component, method of manufacturing the component, and method of cleaning the component
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11881384B2 (en) * 2019-09-27 2024-01-23 Applied Materials, Inc. Monolithic modular microwave source with integrated process gas distribution
CN110904438A (en) * 2019-12-04 2020-03-24 沈阳拓荆科技有限公司 Gas distribution device for multiple chemical sources
TW202230438A (en) * 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 Gas supply ring and substrate processing apparatus
KR102467433B1 (en) * 2020-10-08 2022-11-16 에스케이실트론 주식회사 Epitaxial growth apparatus
US11674227B2 (en) * 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US12012653B2 (en) * 2021-03-23 2024-06-18 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61263118A (en) * 1985-05-15 1986-11-21 Sharp Corp Plasma cvd device
US4929322A (en) * 1985-09-30 1990-05-29 Union Carbide Corporation Apparatus and process for arc vapor depositing a coating in an evacuated chamber
JPH05104053A (en) * 1991-10-11 1993-04-27 Konica Corp Coating apparatus
KR100327521B1 (en) * 1993-03-19 2002-07-03 이.아이,듀우판드네모아앤드캄파니 Integrated chemical processing device and its manufacturing method
CH687258A5 (en) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gas inlet arrangement.
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JP3501930B2 (en) * 1997-12-01 2004-03-02 株式会社ルネサステクノロジ Plasma processing method
US6305923B1 (en) * 1998-06-12 2001-10-23 Husky Injection Molding Systems Ltd. Molding system using film heaters and/or sensors
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6415736B1 (en) * 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
DE19961257C2 (en) * 1999-12-18 2002-12-19 Inst Mikrotechnik Mainz Gmbh micromixer
KR100360401B1 (en) * 2000-03-17 2002-11-13 삼성전자 주식회사 Process tube having a slit type process gas injection portion and a waste gas exhaust portion of multi hole type and apparatus for semiconductor fabricating
US6502530B1 (en) * 2000-04-26 2003-01-07 Unaxis Balzers Aktiengesellschaft Design of gas injection for the electrode in a capacitively coupled RF plasma reactor
KR100389508B1 (en) * 2000-07-05 2003-06-25 주식회사 실리콘 테크 Apparatus for spraying hmds
DE10100670A1 (en) * 2001-01-09 2002-08-14 Univ Braunschweig Tech Feeding device for a CVD system
CN1302152C (en) * 2001-03-19 2007-02-28 株式会社Ips Chemical vapor depositing apparatus

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101738088B (en) * 2008-11-20 2011-09-07 中芯国际集成电路制造(上海)有限公司 Furnace tube heat insulation device and furnace tube preventive maintenance method using same
CN110079789A (en) * 2008-12-04 2019-08-02 威科仪器有限公司 Air inlet element and its manufacturing method for chemical vapor deposition
CN102206813A (en) * 2010-08-20 2011-10-05 浙江正泰太阳能科技有限公司 Apparatus, method and system of gas mixing in PECVD (Plasma Enhanced Chemical Vapor Deposition) system
CN104170067B (en) * 2012-04-26 2017-03-15 应用材料公司 Proportional and uniform controlled airflow transmission for plasma dry etching machines
CN103510071A (en) * 2012-06-21 2014-01-15 Tel太阳能公司 System, method and device for equalized gas distribution of processing modules of odd number
CN104822866A (en) * 2012-11-27 2015-08-05 索泰克公司 Deposition systems having interchangeable gas injectors and related methods
CN104822866B (en) * 2012-11-27 2017-09-01 索泰克公司 Depositing system and related method with interchangeable gas ejector
CN105190841B (en) * 2013-03-14 2019-01-18 应用材料公司 Use the film forming method and epitaxial growth device of epitaxial growth
CN105190841A (en) * 2013-03-14 2015-12-23 应用材料公司 Film forming method using epitaxial growth and epitaxial growth apparatus
CN107221487B (en) * 2013-03-15 2019-06-28 应用材料公司 Plasma reactor with the injection of high degree of symmetry quadruple formula gas
CN107221487A (en) * 2013-03-15 2017-09-29 应用材料公司 The plasma reactor injected with high degree of symmetry quadruple formula gas
US11244811B2 (en) 2013-03-15 2022-02-08 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
US11728141B2 (en) 2013-03-15 2023-08-15 Applied Materials, Inc. Gas hub for plasma reactor
US10689757B2 (en) 2017-01-03 2020-06-23 Applied Materials, Inc. Gas injection apparatus with heating channels
TWI712705B (en) * 2017-01-03 2020-12-11 美商應用材料股份有限公司 Gas injection apparatus with heating channels
TWI749861B (en) * 2017-01-03 2021-12-11 美商應用材料股份有限公司 Processing chamber and gas injection apparatus with heating channels
US11268193B2 (en) 2017-01-03 2022-03-08 Applied Materials, Inc. Gas injection apparatus with heating channels
TWI805065B (en) * 2017-01-03 2023-06-11 美商應用材料股份有限公司 Processing chamber and gas injection apparatus with heating channels
CN108149223A (en) * 2017-12-27 2018-06-12 长沙新材料产业研究院有限公司 A kind of MPCVD cavity body structures and MPCVD equipment
CN108149223B (en) * 2017-12-27 2020-08-07 长沙新材料产业研究院有限公司 MPCVD cavity structure and MPCVD equipment
CN114959647A (en) * 2022-04-07 2022-08-30 江苏微导纳米科技股份有限公司 Thin film deposition device and air inlet mechanism thereof

Also Published As

Publication number Publication date
JP2004172622A (en) 2004-06-17
KR100862658B1 (en) 2008-10-10
US20040099378A1 (en) 2004-05-27
KR20040043049A (en) 2004-05-22
US7252716B2 (en) 2007-08-07
CN100336165C (en) 2007-09-05

Similar Documents

Publication Publication Date Title
CN100336165C (en) Gas injection apparatus for semiconductor processing system
US8097120B2 (en) Process tuning gas injection from the substrate edge
CN108070846B (en) Gas supply unit and substrate processing apparatus including the same
KR102156390B1 (en) Gas distribution showerhead for semiconductor processing
CN1115425C (en) Gas injection system for semiconductor processing
US5458918A (en) Gas injectors for reaction chambers in CVD systems
KR101177983B1 (en) Chemical vapor deposition reactor
US20060096540A1 (en) Apparatus to manufacture semiconductor
US20060011298A1 (en) Showerhead with branched gas receiving channel and apparatus including the same for use in manufacturing semiconductor substrates
KR20130067600A (en) Atomic layer deposition apparatus providing direct palsma
CN101050524A (en) Method and apparatus for providing uniform gas delivery to a reactor
US10504701B2 (en) Substrate processing device and substrate processing method
KR20010028032A (en) Tube for chemical vapor deposition
US20180258531A1 (en) Diffuser design for flowable cvd
JP2006514161A5 (en)
KR101552726B1 (en) Plasma enhanced chemical vapor deposition apparatus
JP2022539488A (en) Gas supply device for substrate processing apparatus and substrate processing apparatus
US20210050182A1 (en) Substrate processing apparatus
US10801110B2 (en) Gas injector for semiconductor processes and film deposition apparatus
KR102629908B1 (en) Substrate processing apparatus
KR102208609B1 (en) Shower head for chemical vapor deposition and depositing apparatus using the same
US20240003009A1 (en) Semiconductor processing apparatus for processing a plurality of substrates with cross flow
KR102051611B1 (en) Apparatus for processing substrate
JPS59215475A (en) Grow discharge decomposing device of mass production type
CN114293174A (en) Gas supply unit and substrate processing apparatus including the same

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070905

Termination date: 20091030