CN1496577A - 制造被涂覆处理室部件的方法 - Google Patents

制造被涂覆处理室部件的方法 Download PDF

Info

Publication number
CN1496577A
CN1496577A CNA02806156XA CN02806156A CN1496577A CN 1496577 A CN1496577 A CN 1496577A CN A02806156X A CNA02806156X A CN A02806156XA CN 02806156 A CN02806156 A CN 02806156A CN 1496577 A CN1496577 A CN 1496577A
Authority
CN
China
Prior art keywords
parts
ceramic structure
chamber
coating
less
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA02806156XA
Other languages
English (en)
Other versions
CN1294615C (zh
Inventor
Y
Y·何
H·王
C·斯托
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1496577A publication Critical patent/CN1496577A/zh
Application granted granted Critical
Publication of CN1294615C publication Critical patent/CN1294615C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/50Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements with inorganic materials
    • C04B41/51Metallising, e.g. infiltration of sintered ceramic preforms with molten metal
    • C04B41/515Other specific metals
    • C04B41/5155Aluminium
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • C04B41/81Coating or impregnation
    • C04B41/85Coating or impregnation with inorganic materials
    • C04B41/88Metals
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/02Pretreatment of the material to be coated, e.g. for coating on selected surface areas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/06Metallic material
    • C23C4/08Metallic material containing only metal elements
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/131Wire arc spraying

Abstract

本发明提供一种制造处理室部件的方法,其中该部件具有带有晶粒和晶界区域的陶瓷结构。在该方法中,喷丸处理该部件以提供具有小于约150微英寸的相对低的表面粗糙度平均值的表面。该部件被浸在具有足够低浓度以减少陶瓷结构的晶界区域的刻蚀的溶液。金属涂层形成在陶瓷结构的至少一部分上。通过该方法制造的部件可允许在溅射处理中形成较厚的溅射材料的淀积物,而且溅射的淀积积累物不会使该部件的涂层剥落。

Description

制造被涂覆处理室部件的方法
                      背景
本发明的实施方案涉及一种制造处理室部件的方法。
衬底处理室可用于在激励处理气体如等离子体中处理衬底,以用于制造电子电路,如集成电路芯片和显示器。通常,该处理室包括封闭其中引入处理气体的处理区的封闭壁、激励处理气体的气体激励器以及用于排出处理室中的处理气体并控制处理气体的压力的排气系统。例如,这种处理室可用于在衬底上淀积材料或从衬底刻蚀材料。例如,该处理室可用于将材料溅射淀积到衬底上,如金属,例如铝、铜或钽;或者金属化合物,如氮化钽或氮化钛。
暴露于处理室的处理室部件如室侧壁的表面、顶板、衬垫、或淀积环经常用涂层涂覆,例如用于增强溅射到涂层上的材料的粘附性,提高下层材料对处理室内的等离子体的耐腐蚀性,或者提供某些其它希望的性能,如具有导电表面。例如,处理室部件可由氧化铝或石英构成,并用铝涂层等离子体喷射涂覆。
在用于制造这种部件的一种工艺中,通过采用高能喷砂步骤对该部件进行喷砂,然后将该部件浸在浓缩酸溶液中,如具有大于20%浓度的HF溶液,由此制备部件的陶瓷结构。喷砂步骤可用于在再磨光工艺中除去存在于该部件上的涂层,或者用于制备部件表面以接收新涂层。进行喷砂步骤以在部件表面上实现大于200微英寸的高表面粗糙度平均(Ra)值。相信较高的粗糙度值提供陶瓷结构上的上覆层的较好的粘附性。之后,在再抛光工艺的情况下,再涂覆该部件,或者在新部件的情况下用涂层重新涂覆。
然而,这种常规部件制造方法经常使部件具有不能接受的短部件寿命,要求频繁替换或重新磨光该部件。例如,当这种处理室部件用在PVD工艺中以从靶向衬底上溅射淀积材料时,被溅射的材料还积累在部件表面上。积累的淀积材料可能产生热膨胀应力,这将导致下涂层从陶瓷结构上剥离、破裂和掉落。处理室中的等离子体可通过涂层裂缝或其它损伤区域渗透并腐蚀处理室部件的暴露表面,最终导致部件出现故障。
因此,希望具有这样一种工艺,能在衬底处理环境中制造具有希望表面性能的部件。还希望具有在制造工艺中呈现良好寿命的部件,其中过量的溅射材料可能淀积在部件上。还希望该部件在工作中退化时可以按需要重修部件。
                       概述
提供一种用于处理室的部件的制造方法,其中该部件包括具有晶粒和晶界区域的陶瓷结构,该方法包括:
(a)对部件进行喷丸处理(bead blasting)以提供具有小于约150微英寸的粗糙度平均值的表面;
(b)将该部件浸在具有足够低浓度以减少陶瓷结构的晶界区域的刻蚀的酸或碱的溶液中;和
(c)在陶瓷结构的至少一部分上形成金属涂层。
提供一种用于处理室的部件的制造方法,其中该部件包括具有氧化铝晶粒和晶界区域的陶瓷结构,该方法包括:
(a)对部件进行喷丸处理以提供具有小于约150微英寸的粗糙度平均值的表面;
(b)将该部件浸在包括HF、HCl和HNO3中的一种或多种的溶液中,其浓度小于约10体积百分比;和
(c)通过双股线热喷射处理在陶瓷结构的至少一部分上形成铝涂层。
提供一种用于处理室的部件的制造方法,其中该部件包括具有氧化铝晶粒和晶界区域的陶瓷结构,该方法包括:
(a)对部件进行喷丸处理以提供具有小于约150微英寸的粗糙度平均值的表面;
(b)将该部件浸在包括KOH或二甘醇一丁酯的一种或多种的少于约20体积百分比的溶液中;和
(c)通过双股线热喷射处理在陶瓷结构的至少一部分上形成铝涂层。
                  附图说明
通过下面的文字说明、所附权利要求书和表示本发明例子的附图使本发明的这些特点、方案和优点更易被理解。然而,应该理解,不仅在特定图中,一般每个特征都可用在本发明中,并且本发明包括这些特征的任何组合,其中:
图1是具有金属涂层的处理室部件的示意侧视图;
图2是表示根据本发明的工艺的实施方案的流程图;
图3是包括多室工作台的设备的实施方案的示意顶视图,其中该多室工作台具有安装在工作台上的大量的互连PVD室;
图4是合适的PVD室的实施方案的示意侧视图;
图5是能操作图4的PVD室的计算机程序的实施方案的分级控制结构的简化方框图;和
图6是比较通过不同工艺制造的部件上的金属涂层的粘结强度的柱状图表。
                   详细说明
本工艺用于制造用于处理室的涂覆部件300,其能提供对化学腐蚀的提高的抵抗性和对涂层304从部件300剥落的良好抵抗性。该工艺可用于在易于被腐蚀的处理室36a中形成一个或多个部件300,例如衬底支架18的部件300。在一种改型中,支架18的部件300包括可用在淀积室36a中的一个或多个淀积环15或覆盖环17。可形成的其它室部件300例如包括:一部分室封闭壁12,如侧壁或屏蔽件20,衬垫(未示出),或者顶板13;一部分气体分配器39,如气体入口33;一部分排气系统28;和一部分气体激励器90。图1示出了根据本发明的方法的实施方案形成的部件300的实施方案的示意图。
在一个改型中,室部件300包括陶瓷结构302,该陶瓷结构302被处理以制备其表面,然后用金属涂层涂覆。例如,根据本工艺适于处理和涂覆的陶瓷结构302可包括氧化铝、碳化硅、和氮化铝的一种或多种。陶瓷结构302被处理以提供表面306,表面306具有允许增强陶瓷结构302和上涂层304之间的结合力的特性。例如,陶瓷结构302的表面306可包括晶粒和晶界区域,例如通过从表面306除去松散粘接或损伤的颗粒而处理晶粒和晶界区域,以便在表面306上提供稳定的晶界区域,允许在陶瓷结构302和上涂层304之间形成合适的强结合力。而且,通过处理可以从陶瓷结构302的表面306除去化学杂质或其它松散粒子,由此提高涂层304与表面306的粘结力。
在初始处理步骤中,通过喷丸处理陶瓷结构302的表面306而处理室部件300。可进行喷丸处理以除去陶瓷结构302的表面306上的任何杂质,以及除去表面306上的任何松散或损伤的颗粒,以便提供构造成的和粗糙的表面306,增强涂层304对表面306的附着力。在喷丸处理中,在合适的高的压力下通过空气将固体珠子向表面306喷射,以便使表面306变粗糙。珠子可包括硬度高于陶瓷结构302的材料,以便允许珠子腐蚀陶瓷结构302的表面306并使陶瓷结构302的表面306变粗糙,由此形成粗糙的和有织构的表面306。合适的珠子材料例如包括氧化铝、玻璃、硅石或硬塑料。在一个实施方案中,珠子包括氧化铝筛网,其网眼尺寸选择成可以合适地对表面进行喷砂处理,例如具有150网眼尺寸的铝颗粒的筛网。喷丸处理可在例如包括封闭外壳的喷丸装置(未示出)中进行。
已经发现通过相对较平缓的喷丸处理以清洗和处理表面306而不使表面306过量变粗糙,可提供表面306的改进处理。这个发现是预料不到的,如常规处理工艺通过采用侵蚀性的喷丸处理以实现高度粗糙的表面,由此提供任何上涂层的改进的粘附性。然而,已经发现在喷丸处理期间使表面过量变粗糙对涂覆部件300的结构整体性有害,如在陶瓷结构302的表面306上形成微裂痕和损伤晶界区域。施加于这种损伤表面306上的涂层304呈现降低的涂覆粘附性,如晶界层可能被大大损伤,以使陶瓷结构302表面上的晶粒以及粘接到表面306的涂层304变松散,并导致涂层304去叠置或剥落。在喷丸处理期间形成的微小裂纹和损伤的晶界区域在后来的处理步骤例如湿清洗步骤中可能恶化。
因此,希望保持喷丸处理条件以提供较少侵蚀性喷丸处理和降低的表面粗糙度,如少于约150微英寸的粗糙度平均值(Ra)。例如从约60到约140微英寸,和甚至少于120微英寸。表面306的粗糙度平均值指的是沿着粗糙表面306距离粗糙结构的峰值和谷值的平均线的位移的绝对值的平均值。提供这种表面粗糙度的合适喷丸处理条件可包括:用于向该表面喷射珠子的空气的压力为从约30psi到约100psi,和甚至从约40psi到约60psi;珠子相对于该表面的入射角度为从约45度到约90度,和甚至从约75度到约90度;和珠子从喷丸装置到该表面所运行的投射距离从约4英寸到约12英寸,甚至从约5英寸到约8英寸。
在表面306的测量性能上,如粗糙度平均值,可采用确定适当的切割长度和评估长度的国际标准ANSI/ASME B.46.1-1995。下列表示出了由该标准定义的粗糙度平均值、合适的切割长度以及最小和典型的评估长度:
粗糙度平均值 切割长度 最小评估长度 典型评估长度
0-0.8微英寸 0.003英寸 0.016英寸 0.016英寸
0.8-4微英寸 0.010英寸 0.050英寸 0.050英寸
4-80微英寸 0.030英寸 0.160英寸 0.160英寸
80-400微英寸 0.100英寸 0.300英寸 0.500英寸
400微英寸和以上 0.300英寸 0.900英寸 1.600英寸
粗糙度平均值可利用表面光度仪或者利用扫描电子显微镜来测量,其中表面光度仪在表面306上穿过针并产生表面306上的粗糙度的高度的波动的轨迹,扫描电子显微镜采用从表面306反射电子束产生表面306的图像。
一旦陶瓷结构302的表面306已经被喷丸处理过,则通过将该表面306沾或浸在处理液中而进一步在第二处理步骤中处理表面306。该处理液包括从表面306除去杂质或清洗在喷丸处理期间产生的松散颗粒的表面306的处理剂,以便制备用于结合涂层304的表面306。可通过浸在处理液中而处理表面306,浸渍的时间应适于制备表面306,例如从约15秒到约30分钟,甚至从约15秒到约15分钟。
已经发现利用包括足够低浓度的处理试剂以减少陶瓷结构302的晶界区域的刻蚀的处理液可获得涂层304对陶瓷结构302的意料不到的良好的粘附性。这个结果是意料不到的,因为常规工艺经常采用较高浓度的处理剂以清洗和制备表面306,例如大于20体积百分比的氢氟酸,希望更高浓度的处理剂将会提供表面碎屑和污染物的更全面清洁。然而,已经发现具有用以从表面306除去任何残余物或弱结合晶粒的足够低浓度的处理剂的处理液较好,不会过量刻蚀晶界区域并不会在部件300的表面306上形成微小裂纹或使微小裂纹恶化。通过减少对表面306上的晶界区域的刻蚀损伤,与利用较高浓度的常规工艺相比,包括低浓度的处理剂的处理液提供涂层304和陶瓷结构302的良好的改进的结合。
在一个改型中,陶瓷结构302被浸在酸性处理液中该处理液包括适当低浓度的酸性试剂,该酸性试剂包括氢氟酸(HF)。例如,该处理液可包括主要由HF构成的处理剂。氢氟酸给表面306提供良好的清洗,并能除去表面306上的杂质和松散颗粒,如在喷丸处理期间或处理衬底16期间产生的颗粒。氢氟酸还可与可能已经积累在陶瓷结构302的表面306上的杂质如SiO2、CaO或MgO反应并使其溶解。氢氟酸的合适低浓度例如可以为少于约10体积百分比,例如从约1体积百分比到约10体积百分比,甚至少于约5体积百分比。
在另一改型中,将陶瓷结构302浸在包括合适低浓度的无氟酸性处理剂的液体中。该无氟酸性处理剂可提供弱侵蚀性处理液,可用于清洗和制备表面306,使该表面306具有减少的穿过陶瓷结构302的表面306的微小裂纹的扩散和减少的晶界区域的损伤。合适的无氟清洗剂可包括例如HCl或HNO3。无氟试剂的合适的低浓度可以为小于约20体积百分比的浓度,例如从约1到约20体积百分比的浓度,甚至小于10体积百分比。在又一改型中,陶瓷结构被浸在碱性溶液中,如KOH溶液,或有机刻蚀剂的溶液中,如二甘醇一丁酯。
一旦已经通过浸于该溶液中处理了陶瓷结构302,在陶瓷结构302的至少一部分上形成金属涂层304。该涂层304可包括一种或多种金属,例如铝、钛、铜和铬中的一种或多种,其在衬底处理室36a中具有足够的耐腐蚀性。金属涂层304形成为可保护陶瓷结构302例如不被处理室36a中的激励气体腐蚀,并且可以通过提供金属涂层304和陶瓷结构302之间的强的结合力的方法来施加金属涂层304。例如,该涂层可通过化学或物理汽相淀积工艺中的一种或多种方法施加,或者通过火焰喷射或热喷射法施加,如双线电弧法、等离子体电弧法或含氧燃料气火焰。金属涂层304的厚度适于减少处理室36a中的腐蚀性,并且例如可以是至少约0.05mm的厚度,甚至可以为小于0.5mm的厚度。
在一个改型中,该金属涂层通过双线电弧热喷射工艺施加于被处理表面上,如Lazarz等人的、在2001年5月8日公布的美国专利US6227435B1和Scruggs等人的、在1997年12月9日公布的美国专利US5695825中所述的,这里引证其全部内容供参考。在双线电弧热喷射工艺中,热喷射器(未示出)包括两个自耗电极,它们被构形并成角度以便允许在其间形成电弧。例如,自耗电极可包括由待涂覆在表面306上的金属构成的双股线,它们相互成一定角度以允许在交叉点附近产生放电。当电压施加于自耗电极同时载体气体如空气、氮气或氩气中的一种或多种流过电极之间时,在自耗电极之间产生电弧放电。电极之间的电弧使电极上的金属雾化并至少部分地使其液化,并且被放电电极激励的载体气体使熔化的颗粒射出热喷射器并射向陶瓷结构302的处理表面306。熔化的颗粒撞击在陶瓷结构302的表面306上,在那里它们冷却并凝固形成保形涂层304。当采用双股线时,这些线连续馈送到热喷射器中,以便提供金属材料的连续供应。
可适当选择在热喷射期间的工作参数,以便调整涂层材料施加的特性,如在涂层材料穿过从热喷射器到陶瓷结构表面306的路径时的温度和涂层材料的速度。例如,气流量、功率大小、粉末馈送速度、载体气体流量、从热喷射器到该表面的投射距离,和涂层材料相对于表面306的淀积角度可适于改进涂层材料的施加和涂层304对表面306的后来的粘附性。例如,自耗电极之间的电压可选择成从约10V到约50V,例如约30V。此外,在自耗电极之间流动的电流可以选择为从约100A到约1000A,例如为约300A。等离子体点火器的功率大小通常在从约6到约80KW范围内,如为约10KW。
可选择投射距离和淀积角度以调整该表面上的涂层材料的淀积特性。例如,可调整投射距离和淀积角度以修改通过撞击表面306而溅射到其中的图形,由此形成例如“扁平”和“薄层”图形。投射距离和淀积角度可调整以修改涂层材料撞击表面306时的相位、速度或液滴尺寸。在一个实施方案中,热喷射器和该表面之间的投射距离为约5英寸,淀积到表面306上的涂层材料的淀积角度为约90度。
可调整涂层材料的速度,以便适当地在表面306上淀积涂层材料。在一个实施方案中,粉末状涂层材料的速度为从约100到约300米/秒。而且,可以调整热喷射器,以使涂层材料的温度至少约为涂层材料撞击表面306时的熔化温度。熔点以上的温度可产生高密度涂层和粘结强度的涂层。例如,在放电周围的被激励载体气体的温度可超过5000C。然而,在放电周围的被激励气体的温度也可以设定为足够低,以使涂层材料在与表面306撞击的时间段内保持熔化。例如,合适的时间段可以是至少约几秒。
已经根据本工艺处理和涂覆的部件300基本上呈现金属涂层304和下层陶瓷结构302之间的改进的粘附性。例如,根据本工艺处理和涂覆的部件300提供了在溅射淀积室36a中的增强的性能,其中在室36a中形成的溅射材料可以积累在部件300的暴露表面308上,积累的厚度至少约为0.2mm,甚至高达也1mm,或者甚至高达约1.5mm,并且基本上不会引起金属涂层304从部件300上剥落下来。
在一个改型中,可以进行上述处理和涂覆工艺以制造用在室36a中的涂覆部件300。例如,为了制造部件300,陶瓷结构302可由陶瓷粉末和粘接剂的混合物制备,其中粘接剂可以是有机粘接材料。陶瓷粉末和粘接剂可在模具中通过例如粉浆浇注法成形为合适的陶瓷预制件,或者可通过可塑印坯成形或等静压或者通过带式浇注(tape casting)形成。例如,在一个改型中,陶瓷粉末和粘接剂可成形为用在淀积室36a中的淀积环或盖环的形式。此后,被成形的预制件被烧结以形成包括陶瓷结构302的硬陶瓷材料。合适的连接件或其它结构可在烧结之前在被成形的预制件中形成。烧结陶瓷结构302也可被研磨到预定厚度,其它结构也可钻孔或加工成多孔陶瓷材料。一旦形成具有预定形状的陶瓷结构302,可进行上述处理工艺以便通过喷丸处理并将其浸在溶液中而处理陶瓷结构302的表面,由此制备用于粘接金属涂层304的陶瓷结构302的表面306。之后,例如可通过向表面306上热喷射金属材料而施加金属涂层304。
在另一改型中,可进行处理和涂覆工艺以整修已经在衬底处理室36a中使用的部件300,例如整修由于暴露于处理室36a中的激励气体而被损伤的部件300。在这个改型中,通过除去金属涂层304以及处理和涂覆下面的表面306,整修和处理包括陶瓷结构302和被损伤金属涂层304的部件300。例如可以在喷丸处理如上述处理中除去金属涂层304,或者通过其他金属除去方法,如刻蚀工艺除去金属涂层304。一旦已经除去金属涂层304,对下面的表面306进行喷丸处理,并根据本工艺将其浸在处理液中。最后,例如通过热涂覆工艺用金属涂层304再次涂覆表面306。
图2是表示根据本发明的处理和涂覆工艺的流程图。如该流程图所示,该工艺一般包括:(i)对部件300进行喷丸处理,以便提供粗糙度平均值为少于约150微英寸的表面粗糙度;(ii)将陶瓷结构浸在溶液中,该溶液的浓度足够低以便减少陶瓷结构的晶界区域的刻蚀;和(iii)在陶瓷结构的至少一部分上形成金属涂层。
被处理和涂覆的部件300可用在作为多室工作台100的一部分的处理室36a中,如图3所示。多室工作台100例如可以是从California的Santa Clara的应用材料获得的“ENDURA”系统。这里所示的适于处理平面硅晶片衬底16的工作台100的具体实施方案只提供用于表示本发明,不用于限制本发明的范围。多室工作台100通常包括一组互连室36a-d、114、102、118和衬底传送机构,该衬底传送机构包括自动臂机构,用于在室36a-d、114、102和118之间传送衬底16。自动臂机构132包括具有叶片134的自动臂,叶片支撑和承载衬底16。负载锁定室120、122接收包含衬底16的传送盒126。提供衬底定向和除气室118以使衬底16在准备处理时定向,并用于对衬底16进行除气,以便从衬底16除去污染物,否则这些污染物将破坏处理室36a-d中的高真空环境。预清洗室114可用于在任何淀积步骤之前清洗衬底16,冷却室102可用于冷却衬底16。提供工艺序列发生器136,以便控制自动臂机构132,如提供自动臂机构132向各个室36a-d、114、102、118传送衬底16和从这些室传送衬底的顺序。通常,工艺序列发生器136控制自动臂机构132以从负载锁定室120、122之一将衬底16传送到定向和除气室118,然后传送到预清洗室114,然后传送到一个或多个处理室36a-d,然后到冷却室102。
多室工作台100具有至少一个PVD室36a,如图4所示,以便在衬底16上溅射淀积一层材料,如钽、氮化钽、或铜。提供衬底支架18用于在PVD室36a中支撑衬底16。通过室36a的侧壁中的衬底装载入口(未示出)将衬底16引入到室36a中并放在支架18上。支架18通过支架提升风箱(未示出)升高或降低,并且在将衬底16传送到室36a中和传送出室36a期间提升爪组件(也未示出)可用于将衬底16提升和降低到支架18上。
支架18可包括一个或多个环,如淀积环15和盖环17,它们覆盖支架18的上表面19的至少一部分,以便禁止腐蚀支架18。例如,淀积环15和盖环17可覆盖支架18上的静电夹具(未示出)的至少一部分,以便减少静电夹具暴露于室36a中的激励气体并减少颗粒淀积到静电夹具上。在一个改型中,淀积环15至少部分地围绕衬底16,以便保护未被衬底16覆盖的部分支架18。盖环17可包围淀积环15的至少一部分,并帮助减少颗粒淀积到淀积环15和下面的支架18上。根据本发明的一个方案,可根据本工艺处理和涂覆淀积环15和盖环17。由本工艺提供的牢固粘接的金属涂层304允许环15、17容纳更大量的淀积,而且不会使涂层304产生过量的热膨胀应力或使其脱落。这样,根据本工艺处理和涂覆的淀积环15和盖环17可具有增强的耐腐蚀性并对下面的衬底支架18提供更好的腐蚀保护功能。
溅射气体馈送装置23将溅射气体引入到室36a中,以便保持溅射气体在处理区中处于亚大气压状态。溅射气体通过气体入口33引入到室36a中,其中气体入口经过气体输入端25a、25b分别连接到一个或多个气体源24、27。采用一个或多个质流控制器26控制独立气体的流速,在这些独立气体被引入到室36a之前它们可以在混合管道中预先混合,或者可以单独引入到室36a中。溅射气体通常包括非反应气体,如氩气或氙气,当被激励成为等离子体时,溅射气体有力地撞击到靶14上,以便使材料从靶14上溅射下来,该材料例如为铜、钽、或氮化钽。溅射气体还可包括反应气体,如氮气。而且,包括其它反应气体或其它类型的非反应气体的溅射气体的其它成分也可使用,这对本领域技术人员来说是很显然的。
排气系统28控制室36a中的溅射气体的压力并将过量气体和副产物排出室36a。排气系统28包括在室36a中的排气口29,该排气口连接到引向一个或多个排气泵29的排气管道34。排气管道34中的节流阀37可用于控制室36a中的溅射气体的压力。通常,室36a中的溅射气体的压力设定为亚大气水平,例如从约2到约10毫乇。
PVD室36a进一步包括面向衬底16的溅射靶14,该溅射靶包括钛。如果需要的话,准直仪(未示出)可安装在靶14和衬底支架18之间。PVD室36a还可具有屏蔽件20,以便保护室36a的壁12不被溅射材料溅射,并且通常,还用做阳极接地面。屏蔽件20电浮置或接地。靶14与室36a电绝缘并连接到电压源,如DC电源22,该电压源还可以是其它类型的电压源,如RF电源。在一个改型中,DC电源22、靶14和屏蔽件20作为气体激励器90工作,该气体激励器90能激励溅射气体以便将从等离子体溅射材料。DC电源22相对于屏蔽件20给靶14施加DC电压,例如作为DC电压脉冲。在室36a中通过施加于溅射靶14的电压而产生的电场激励溅射气体,使其形成用于从靶14溅射材料的等离子体。从靶上通过等离子体溅射的材料淀积在衬底16上,并可与等离子体的气体成分反应,在衬底16上形成淀积层。
室36a还包括磁控管32,该磁控管32包括磁场发生器35,该磁场发生器在室36a的靶14附近产生磁场105,从而增加与靶14相邻的高密度等离子体区38中的离子密度,由此提高靶材料的溅射。此外,改进的磁控管32可用于允许维持铜的自溅射或铝、钛、或其它金属的溅射;同时使由靶轰击目的所需要的非反应气体最少,例如在Fu的、主题名称为“Rotating Sputter Magnetron Assembly”的美国专利US6183614;和Gopalraja等人的、主题名称为“Integrated Process forCopper Via Filling”的美国专利US6274008中所述的,在这里引证它们的全部内容供参考。磁场105延伸穿过基本上无磁靶14并进入真空室36a。在一个改型中,磁控管32在靶14处产生半环形磁场。在一个实施方案中,磁控管32从靶14的近似中心点水平延伸到靶14的有用区域的边缘。在一个改型中,磁控管32包括电机106,以便使磁控管32围绕旋转轴78旋转。电机106通常通过传动轴104固定到磁控管32的磁轭98上,其中传动轴沿着旋转轴78延伸。
本发明的PVD工艺可采用计算机程序产品141执行,该计算机产品141包括工艺序列发生器136并在控制器30上运行,如图5所示,包括互连到具有具有周边控制部件的存储系统的中心处理单元(CPU),例如可在商业上从California的Synergy Microsystems获得的68400微处理器。该计算机程序代码可被写入任何常规计算机可编程语言中,例如组装语言、C、C++或Pascal。合适的程序代码可采用常规的文本编辑器进入到单个文件中,或者多个文件中,并储存或埋置在计算机可用介质中,如计算机的存储系统。如果进入的代码文本处于高电平语言,则该代码被编辑,然后得到的编辑代码与预编辑窗口库例行程序的目标代码连接。为了执行连接的编辑目标代码,系统用户调用目标代码,使计算机系统将该代码装载在存储器中,CPU从该存储器读取和执行该代码,以便执行在该程序中识别的任务。
用户将工艺设置和处理室号码输入到处理选择器程序代码142中。工艺设置是在特殊处理室中进行特殊处理所需要的工艺参数的预定设置,并由预定设置号码来识别。工艺参数涉及工艺条件,如处理气体成分和流速、温度、压力,气体激励处理条件,如非脉冲或脉冲DC能量水平和磁场能量水平、冷却气体压力、和室壁温度。
工艺序列发生器136包括用于接受被识别处理室36的程序代码和来自处理选择器程序代码142的工艺参数设置,并用于控制各个处理室36a-d的工作。多个用户进入工艺设置号码和处理室号码,以使工艺序列发生器136工作,以便在预定顺序安排被选择的处理。优选,工艺序列发生器136包括程序代码以执行以下步骤:(i)监视处理室36a-d的工作以确定是否处理室36a-d被使用,(ii)确定正在进行处理的处理室36a-d被使用,和(iii)在特定处理室36的有效性和要进行的处理的类型基础上执行所希望的处理。可以使用监视处理室36a-d的常规方法,如登记。当安排将要执行的处理时,与用于选择处理的预定处理条件、或者进入需求的每个特定用户的“年龄”或系统程序设计员希望的任何其它相关因素以包括用于确定安排优先权相比,可考虑正在使用的处理室36的当前条件对工艺序列发生器136进行设计。
一旦工艺序列发生器136确定了下面要针对哪个处理室和工艺设置组合进行处理,通过使特定工艺设置参数经过处理室管理程序码144,序列发生器程序代码143使工艺设置执行,该处理室管理程序码144根据由工艺序列发生器136确定的工艺设置控制在不同处理室36a-d中的多个处理任务。例如,处理室管理程序码144包括用于控制在所述处理室36a内的PVD工艺操作的程序码。处理室管理程序码144也控制各种室部件程序码或程序码模数的执行,程序码模数控制进行被选工艺设置所需的室部件300的操作。室部件程序码的例子是衬底定位程序码145、气流控制程序码146、排气控制程序码147、加热器控制程序码148和电压源控制程序码149。本领域普通技术人员很容易意思到也可包括其它室控制程序码,这取决于希望在处理室36a中执行的处理。
在操作中,管理程序码144根据正在执行的特定工艺设置选择性地安排或调用处理部件程序码。室管理程序码144同样安排处理部件程序码,以便序列发射器程序码143如何安排下面将要执行哪个处理室36a-d和工艺设置。通常,室管理程序码144包括以下步骤:监控各种室部件300、在用于将要执行的工艺设置的工艺参数基础上确定必须操作的部件300、和响应上述监控和确定步骤而执行室部件程序码的步骤。
现在介绍特定室部件程序码的操作。衬底定位程序码145包括用于控制室部件300的程序码,该室部件300用于将衬底16传送到衬底支架18上,并任选地将衬底16升高到室36中所希望的高度,以便控制衬底16和靶之间的间隔。当衬底16被传送到处理室36a中时,衬底支架18下降以接收衬底16,之后,支架18升高到室36a中的所希望的高度。衬底定位程序码145响应关于从室管理程序码144输送的支架高度的工艺设置参数而控制支架18的运动。
气流程序码146用于控制处理气体成分和流速。一般情况下,每种处理气体的气体导管34包括安全关断阀(未示出),它能用于自动或手动切断流入室36a中的处理气体的流量。当在处理中使用有毒气体时,在常规结构中在每个气体导管34上设置几个安全关断阀。气流程序码146控制安全关断阀的打开/关闭位置,而且上下移动质流控制器,以便获得所希望的气体流速。气流程序码146由室管理程序码144调用,与所有室部件程序码一样,并从室管理程序码接收关于所希望的气体流速的工艺参数。通常,通过重复读取所需质流控制器、比较高读取与从室管理程序码144接收的所希望的流速和按需要调整流速,气流程序码146进行工作。而且,气流程序码146包括为了不安全流速而用于控制气体流速的步骤,和当检测到不安全条件时激励安全关断阀的步骤。在一个改型中,气流程序码146使质流控制器工作,以便控制气体流速,从而在第一时间段内给氩气提供包括第一体积流率的含氧气体的溅射气体,并在第二时间段内给氩气提供第二体积流率的含氧气体。
当排气控制程序码147被调用时,从室管理程序码144接收所希望的压力水平,作为参数。排气控制程序码147工作,通过读取与室36a连接的一个或多个常规压力纳米测量仪(未示出)来测量室36a中的压力,将该测量值与目标压力相比较,从对应目标压力的储存压力表获得PID(比例的,积分的,和差分的)值,并根据从压力表获得的PID值调整排气系统28的节流阀37。或者,可通过调整排气系统28的排气管道34中的节流阀37的开口尺寸来调整室36a中的压力。
任选的加热器控制序码148包括用于控制给衬底16加热用的任选加热器(未示出)的温度的程序码。加热器控制程序码148通过测量位于支架18中的热偶(未示出)的电压输出来测量温度,将该测量的温度与设定点温度相比较,并提高或降低施加于加热器的电流,以便获得所希望的倾斜率或设定点温度。通过观看存储转换表中的相应温度,或者通过采用四阶多项式计算该温度,从被测电压获得该温度。当用辐射灯作为加热器时,加热器控制程序码148逐渐控制施加于该灯的电流的上升和下降,使灯的寿命和可靠性增加。此外,可包括内置故障安全码以检测处理安全顺从性,如果处理室36a没有适当启动,则切断操作。
电压源程序码149包括用于控制电压源如DC电压源的程序码,以便激励室36a中的溅射气体,由此从靶14溅射材料。例如,程序码149可设定施加于靶14的脉冲DC电压电平并且还设置室36a中的侧壁20的电状态。与前述室部件程序码一样,程序码149由室管理程序码144调用。在工作时,程序码149包括用于读取施加于14的“正向”功率和流过室36a的“反射”功率。读取到过度高的反射功率表示没有点燃等离子体,程序码149再次启动或切断该处理。读取功率水平再次与目标水平比较,并调整电流以控制等离子体。
实施例
下面的实施例表示通过本处理和涂覆工艺提供的相对于常规工艺增强的金属涂层粘附性。
在实施例中,根据本发明,处理包括氧化铝的陶瓷结构302,并用金属涂层304涂覆。在第一处理步骤中,氧化铝陶瓷结构302的表面被喷丸处理成100微英寸的粗糙度平均值。在第二处理步骤中,将氧化铝陶瓷结构的表面浸在包括盐酸的溶液中1分钟,其中盐酸的体积百分比浓度为5。通过双电弧热喷射法,采用双铝线作为自耗电极,在被处理表面306上涂覆铝金属涂层304。铝材料被喷射到表面306上,以便形成厚度为0.25mm的涂层304。
确定铝金属涂层304和氧化铝陶瓷结构302之间的粘结强度并将其与根据常规工艺制备的部件300的强度相比较。根据ASTM C633测量时标准确定粘结强度。采用ASTM测试标准,用粘接剂将金属涂层304的表面308粘接到固定器。经过该固定器垂直于涂层304的平面施加拉力负载,以便确定涂层粘结强度。粘接度或粘结强度可根据下面等式确定:
1)粘附或粘结强度=最大负载/横截面面积。
图6示出了用于根据本工艺处理和涂覆的部件300测量的标准化粘结强度,标记部件E,与根据常规工艺处理和涂覆的部件300相比较,标记部件A-D。如图所示,本工艺提供具有在涂层304和陶瓷结构302之间的基本上较强的结合力的部件300。部件D表示小于75百分比的粘结强度,与本工艺的部件300的强度相同,部件A、B和C表示小于部分E的一半的粘结强度。
测量的粘结强度还允许评价部件300的部件寿命,定义为在需要更换或维修部件300之前可以在室36a中处理的衬底16的数量,还进一步确定根据本工艺处理和涂覆的部件300的增强性能。部件A和B被评估具有约3000个衬底的部件寿命,部件C被评估为具有2000-4500个衬底的部件寿命,部件D被评估为具有5200-6500个晶片的部件寿命。相比之下,根据本工艺制备的部件E被评估为具有至少约7500个晶片的基本上增加的部件寿命。
这样,通过根据本工艺处理陶瓷结构表面306而制备部件300,提供金属涂层304对表面306的增强的结合力,由此增加金属涂层—陶瓷结构的结合力和降低部件300脱落或金属涂层304从陶瓷结构302剥离的可能性。根据本发明处理和涂敷的部件300提供对衬底处理环境的改进的耐腐蚀性能和延长的部件寿命,由此提高了被处理衬底16的有效性和质量。
虽然前面已经示出和介绍了本发明的示意实施方案,本领域普通技术人员可以设计出结合本发明并落入本发明范围内的其它实施方案。例如,也可以采用这里所述的处理剂以外的其它处理剂。而且,陶瓷结构302和金属涂层304可包括前述成分以外的成分,这对于本领域技术人员来说是很显然的。此外,术语下、上、底部、顶部、向上、向下、第一和第二以及其它关系或位置术语都是关于图中的示意实施方案示出了,并且可以互换。因此,所附权利要求不限于表示本发明的这里所述的优选形式、材料或空间设置的说明。

Claims (16)

1、一种制造用于处理室的部件的方法,该部件包括具有晶粒和晶界区域的陶瓷结构,该方法包括:
(a)对所述部件进行喷丸处理,以便提供具有小于约150微英寸的粗糙度平均值的表面;
(b)将该部件浸在具有足够低浓度以减少陶瓷结构的晶界区域的刻蚀的酸或碱的溶液中;和
(c)在所述陶瓷结构的至少一部分上形成金属涂层。
2、根据权利要求1的方法,包括在(a)之后进行(b)。
3、根据权利要求1的方法,其中所述溶液包括浓度小于约10体积百分比的HF。
4、根据权利要求3的方法,其中所述溶液主要由HF组成。
5、根据权利要求1的方法,其中所述溶液包括小于约20体积百分比的KOH、HCl或HNO3中的一种或多种。
6、根据权利要求1的方法,其中所述溶液包括小于约20体积百分比的二甘醇一丁酯。
7、根据权利要求1的方法,其中所述陶瓷结构包括氧化铝,所述金属涂层包括铝。
8、根据权利要求1的方法,其中所述部件是淀积环或盖环。
9、由权利要求1所述的方法制备的部件,包括一暴露表面,该暴露表面允许在溅射室中形成的溅射材料在其上积累的厚度为至少约0.2mm,而不使下层金属涂层从陶瓷结构上剥离下来。
10、一种用于处理室的部件的制造方法,其中该部件包括具有氧化铝晶粒和晶界区域的陶瓷结构,该方法包括:
(a)对所述部件进行喷丸处理以提供具有小于约150微英寸的粗糙度平均值的表面;
(b)将该部件浸在包括HF、HCl和HNO3中的一种或多种的溶液中,其浓度小于约10体积百分比;和
(c)通过双线热喷射处理在陶瓷结构的至少一部分上形成铝涂层。
11、根据权利要求10的方法,包括在(a)之后进行(b)。
12、根据权利要求10的方法,其中所述溶液主要由HF组成。
13、由权利要求10的方法制备的部件,包括一暴露表面,该暴露表面允许在溅射室中形成的溅射材料在其上积累的厚度为至少约0.2mm的,而不使下层金属涂层从陶瓷结构上剥离下来。
14、一种形成用于处理室的部件的方法,该部件包括具有氧化铝晶粒和晶界区域的陶瓷结构,并且该方法包括:
(a)对所述部件进行喷丸处理以提供具有小于约150微英寸的粗糙度平均值的表面;
(b)将该部件浸在包括KOH或二甘醇一丁酯的一种或多种的小于约20体积百分比的溶液中;和
(c)通过双线热喷射处理在所述陶瓷结构的至少一部分上形成铝涂层。
15、根据权利要求14的方法,包括在(a)之后进行(b)。
16、由权利要求14的方法制备的部件,包括一暴露表面,该暴露表面允许在溅射室中形成的溅射材料在其上积累的厚度为至少约0.2mm,而不使下层金属涂层从陶瓷结构上剥离下来。
CNB02806156XA 2001-12-21 2002-11-19 制造被涂覆处理室部件的方法 Expired - Fee Related CN1294615C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/032,387 2001-12-21
US10/032,387 US6656535B2 (en) 2001-12-21 2001-12-21 Method of fabricating a coated process chamber component

Publications (2)

Publication Number Publication Date
CN1496577A true CN1496577A (zh) 2004-05-12
CN1294615C CN1294615C (zh) 2007-01-10

Family

ID=21864697

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB02806156XA Expired - Fee Related CN1294615C (zh) 2001-12-21 2002-11-19 制造被涂覆处理室部件的方法

Country Status (8)

Country Link
US (1) US6656535B2 (zh)
EP (1) EP1358666A1 (zh)
JP (1) JP2005514521A (zh)
KR (1) KR100951338B1 (zh)
CN (1) CN1294615C (zh)
MY (1) MY130996A (zh)
TW (1) TWI290341B (zh)
WO (1) WO2003058672A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101065510B (zh) * 2004-11-24 2011-04-06 应用材料股份有限公司 具有层化涂覆的制程室组件及方法
CN101509127B (zh) * 2008-02-15 2013-03-27 株式会社爱发科 溅射靶的制造方法、溅射靶的洗涤方法、溅射靶及溅射装置
TWI554257B (zh) * 2007-09-13 2016-10-21 德魯股份有限公司 內膺復物組件(一)
CN110459457A (zh) * 2019-08-19 2019-11-15 德淮半导体有限公司 一种真空预清洁装置及形成方法、真空预清洁装置的使用方法
CN112447472A (zh) * 2019-08-27 2021-03-05 中微半导体设备(上海)股份有限公司 改善气体均一分布的等离子体反应装置
CN115379905A (zh) * 2020-07-16 2022-11-22 株式会社Lg新能源 多狭缝模具涂布机

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2421425A1 (en) * 2002-04-04 2003-10-04 Sulzer Metco Ag An apparatus and a method for the thermal coating of a surface
US6797636B2 (en) * 2002-05-31 2004-09-28 Infineon Technologies Ag Process of fabricating DRAM cells with collar isolation layers
US6902628B2 (en) * 2002-11-25 2005-06-07 Applied Materials, Inc. Method of cleaning a coated process chamber component
US20050028838A1 (en) * 2002-11-25 2005-02-10 Karl Brueckner Cleaning tantalum-containing deposits from process chamber components
US7964085B1 (en) 2002-11-25 2011-06-21 Applied Materials, Inc. Electrochemical removal of tantalum-containing materials
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7097713B2 (en) * 2003-08-19 2006-08-29 The Boc Group, Inc. Method for removing a composite coating containing tantalum deposition and arc sprayed aluminum from ceramic substrates
US20050048876A1 (en) * 2003-09-02 2005-03-03 Applied Materials, Inc. Fabricating and cleaning chamber components having textured surfaces
US7910218B2 (en) * 2003-10-22 2011-03-22 Applied Materials, Inc. Cleaning and refurbishing chamber components having metal coatings
WO2005077677A1 (en) * 2004-02-09 2005-08-25 Honeywell International, Inc. Physical vapor deposition components, and methods of treating components
JP4653406B2 (ja) * 2004-03-10 2011-03-16 株式会社アルバック 水崩壊性Al複合材料、水崩壊性Al溶射膜、及び水崩壊性Al粉の製造方法、並びに成膜室用構成部材及び成膜材料の回収方法
US20050215059A1 (en) * 2004-03-24 2005-09-29 Davis Ian M Process for producing semi-conductor coated substrate
US20070158188A1 (en) * 2004-06-15 2007-07-12 Ivanov Eugene Y Metal foam shield for sputter reactor
US7312152B2 (en) * 2004-06-28 2007-12-25 Intel Corporation Lactate-containing corrosion inhibitor
US7670436B2 (en) 2004-11-03 2010-03-02 Applied Materials, Inc. Support ring assembly
US20060196023A1 (en) * 2005-03-02 2006-09-07 Min-Lyul Lee Reduced cost process modules
US8617672B2 (en) 2005-07-13 2013-12-31 Applied Materials, Inc. Localized surface annealing of components for substrate processing chambers
US7554052B2 (en) * 2005-07-29 2009-06-30 Applied Materials, Inc. Method and apparatus for the application of twin wire arc spray coatings
US7762114B2 (en) 2005-09-09 2010-07-27 Applied Materials, Inc. Flow-formed chamber component having a textured surface
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US8647484B2 (en) * 2005-11-25 2014-02-11 Applied Materials, Inc. Target for sputtering chamber
JP4915985B2 (ja) * 2006-02-06 2012-04-11 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US20070283884A1 (en) * 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
US20070289869A1 (en) * 2006-06-15 2007-12-20 Zhifei Ye Large Area Sputtering Target
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
US7981262B2 (en) 2007-01-29 2011-07-19 Applied Materials, Inc. Process kit for substrate processing chamber
US20080233403A1 (en) * 2007-02-07 2008-09-25 Timothy Dyer Method of Making Ceramic Reactor Components and Ceramic Reactor Component Made Therefrom
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
JP4820783B2 (ja) * 2007-07-11 2011-11-24 昭和電工株式会社 磁気記録媒体の製造方法および製造装置
JP4794514B2 (ja) * 2007-07-11 2011-10-19 昭和電工株式会社 磁気記録媒体の製造方法および製造装置
US8114256B2 (en) * 2007-11-30 2012-02-14 Applied Materials, Inc. Control of arbitrary scan path of a rotating magnetron
US8404135B2 (en) * 2008-08-26 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma cleaning for process chamber component refurbishment
US20100055298A1 (en) * 2008-08-28 2010-03-04 Applied Materials, Inc. Process kit shields and methods of use thereof
US20110220285A1 (en) * 2010-02-12 2011-09-15 Morgan Advanced Ceramics, Inc. Methods and systems for texturing ceramic components
US9039996B2 (en) * 2010-10-12 2015-05-26 Stmicroelectronics, Inc. Silicon substrate optimization for microarray technology
JP6230900B2 (ja) * 2013-12-19 2017-11-15 東京エレクトロン株式会社 基板処理装置
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US20160168687A1 (en) * 2014-12-14 2016-06-16 Applied Materials, Inc. Particle reduction in a deposition chamber using thermal expansion coefficient compatible coating
RU182457U1 (ru) * 2017-12-27 2018-08-17 Общество с ограниченной ответственностью "Накопители Энергии Супер Конденсаторы" (ООО "НЭСК") Установка для вакуумного магнетронного напыления тонких пленок
CN109055882A (zh) * 2018-07-27 2018-12-21 芜湖通潮精密机械股份有限公司 一种适用于等离子体喷涂的喷砂工艺
US11557499B2 (en) * 2020-10-16 2023-01-17 Applied Materials, Inc. Methods and apparatus for prevention of component cracking using stress relief layer
US20240117489A1 (en) * 2022-10-06 2024-04-11 Applied Materials, Inc. Halogen-resistant thermal barrier coating for processing chambers

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3839234A (en) * 1973-01-26 1974-10-01 C Roscoe Multi-purpose cleaning concentrate
US4076883A (en) * 1975-07-30 1978-02-28 Metco, Inc. Flame-sprayable flexible wires
JPS5948872B2 (ja) * 1978-02-20 1984-11-29 クロリンエンジニアズ株式会社 電解用陰極及びその製造法
JPS54162969A (en) 1978-06-14 1979-12-25 Mitsubishi Electric Corp Plasma etching device
FR2538987A1 (fr) 1983-01-05 1984-07-06 Commissariat Energie Atomique Enceinte pour le traitement et notamment la gravure de substrats par la methode du plasma reactif
JP2515731B2 (ja) 1985-10-25 1996-07-10 株式会社日立製作所 薄膜形成装置および薄膜形成方法
JPH01184457A (ja) * 1988-01-18 1989-07-24 Ngk Insulators Ltd 酸素センサ素子
US5391275A (en) * 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
WO1993013245A1 (en) * 1991-12-24 1993-07-08 Detroit Diesel Corporation Thermal barrier coating and method of depositing the same on combustion chamber component surfaces
US5376223A (en) 1992-01-09 1994-12-27 Varian Associates, Inc. Plasma etch process
US5403459A (en) 1993-05-17 1995-04-04 Applied Materials, Inc. Cleaning of a PVD chamber containing a collimator
JP2720420B2 (ja) 1994-04-06 1998-03-04 キヤノン販売株式会社 成膜/エッチング装置
US5914018A (en) 1996-08-23 1999-06-22 Applied Materials, Inc. Sputter target for eliminating redeposition on the target sidewall
US5916378A (en) 1997-03-11 1999-06-29 Wj Semiconductor Equipment Group, Inc. Method of reducing metal contamination during semiconductor processing in a reactor having metal components
US6051114A (en) 1997-06-23 2000-04-18 Applied Materials, Inc. Use of pulsed-DC wafer bias for filling vias/trenches with metal in HDP physical vapor deposition
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US5953827A (en) 1997-11-05 1999-09-21 Applied Materials, Inc. Magnetron with cooling system for process chamber of processing system
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US6015465A (en) 1998-04-08 2000-01-18 Applied Materials, Inc. Temperature control system for semiconductor process chamber
US6451181B1 (en) * 1999-03-02 2002-09-17 Motorola, Inc. Method of forming a semiconductor device barrier layer
JP4544700B2 (ja) * 1999-07-29 2010-09-15 京セラ株式会社 真空容器及びその製造方法
US6645585B2 (en) * 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
US20020090464A1 (en) * 2000-11-28 2002-07-11 Mingwei Jiang Sputter chamber shield
CN1170956C (zh) * 2001-05-18 2004-10-13 陈力学 活塞环表面的钛基纳米陶瓷覆盖层及其覆盖加工方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101065510B (zh) * 2004-11-24 2011-04-06 应用材料股份有限公司 具有层化涂覆的制程室组件及方法
TWI554257B (zh) * 2007-09-13 2016-10-21 德魯股份有限公司 內膺復物組件(一)
CN101509127B (zh) * 2008-02-15 2013-03-27 株式会社爱发科 溅射靶的制造方法、溅射靶的洗涤方法、溅射靶及溅射装置
CN110459457A (zh) * 2019-08-19 2019-11-15 德淮半导体有限公司 一种真空预清洁装置及形成方法、真空预清洁装置的使用方法
CN112447472A (zh) * 2019-08-27 2021-03-05 中微半导体设备(上海)股份有限公司 改善气体均一分布的等离子体反应装置
CN112447472B (zh) * 2019-08-27 2023-03-07 中微半导体设备(上海)股份有限公司 改善气体均一分布的等离子体反应装置
CN115379905A (zh) * 2020-07-16 2022-11-22 株式会社Lg新能源 多狭缝模具涂布机

Also Published As

Publication number Publication date
JP2005514521A (ja) 2005-05-19
US20030118731A1 (en) 2003-06-26
TW200305934A (en) 2003-11-01
MY130996A (en) 2007-07-31
KR20040063792A (ko) 2004-07-14
US6656535B2 (en) 2003-12-02
KR100951338B1 (ko) 2010-04-08
EP1358666A1 (en) 2003-11-05
CN1294615C (zh) 2007-01-10
WO2003058672A1 (en) 2003-07-17
TWI290341B (en) 2007-11-21

Similar Documents

Publication Publication Date Title
CN1294615C (zh) 制造被涂覆处理室部件的方法
CN1726302B (zh) 对具有涂层的处理腔组件进行清洁的方法
TWI279397B (en) Erosion resistant process chamber components
CN2935467Y (zh) 具有多层涂层的抗腐蚀铝元件
CN1230868C (zh) 具有改善的颗粒污染性能的半导体处理设备
TWI542731B (zh) 用作爲電漿室材料之釔氧化物的使用期間之加長
US20020009560A1 (en) Container for treating with corrosive-gas and plasma and method for manufacturing the same
TWI336354B (en) Cleaning and refurbishing chamber components having metal coatings
CN2893917Y (zh) 具有粘附处理残余物的表面的构件及包括其的衬底处理室
TWI298176B (en) Cleaning a component of a process chamber
CN1293596C (zh) 具有有纹理的内表面的处理室部件和制造方法
CN101134879B (zh) 用于连接腔室部件的自钝化耐等离子体腐蚀材料
US8118946B2 (en) Cleaning process residues from substrate processing chamber components
US20050238807A1 (en) Refurbishment of a coated chamber component
CN112779488A (zh) 氟化钇喷涂涂层、用于其的喷涂材料以及包括喷涂涂层的抗腐蚀涂层
CN1762039A (zh) 利用含氢自由基清洁自生氧化物的方法和设备
TW200527495A (en) Cleaning of chamber components
CN1891861A (zh) 减少微粒产生的制程套件设计
CN1672236A (zh) 具有织构化的涂层的处理室部件的评估
EP1580292A1 (en) Method of producing a substrate
JP2003055050A (ja) 酸化イットリウム質部材
US8398779B2 (en) Non destructive selective deposition removal of non-metallic deposits from aluminum containing substrates
KR100439478B1 (ko) 금속막 증착설비용 실드 세정방법
JPH11246263A (ja) 耐プラズマ性に優れるアルミナセラミックスの製造方法
JP2001206771A (ja) SiC治具およびその製造法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070110

Termination date: 20161119

CF01 Termination of patent right due to non-payment of annual fee