CN1305118C - 通过ild柱结构性加强多孔隙、低k介电薄膜 - Google Patents

通过ild柱结构性加强多孔隙、低k介电薄膜 Download PDF

Info

Publication number
CN1305118C
CN1305118C CNB01821178XA CN01821178A CN1305118C CN 1305118 C CN1305118 C CN 1305118C CN B01821178X A CNB01821178X A CN B01821178XA CN 01821178 A CN01821178 A CN 01821178A CN 1305118 C CN1305118 C CN 1305118C
Authority
CN
China
Prior art keywords
dielectric layer
dielectric
structures
cylinder
ild
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB01821178XA
Other languages
English (en)
Other versions
CN1528012A (zh
Inventor
L·D·王
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN1528012A publication Critical patent/CN1528012A/zh
Application granted granted Critical
Publication of CN1305118C publication Critical patent/CN1305118C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

机械加强多孔隙、低k介电材料,使得能够使用这些低k材料作为高级集成电路中的层间电介质,例如那些在Cu大马士革互连技术中加入多孔隙ILD材料的集成电路。使用这种机械加强的ILD的集成电路通常包括具有在其中互连的电气元件的基底、放置在基底上方的第一介电层、放置在第一介电层上方的多个电绝缘结构和放置在第一介电层上方的第二介电层,第二介电层环绕多个结构。制造机械加强的、多孔隙、低k的ILD的处理通常包括在基底上形成第一介电层、给第一介电层构图,从而形成多个结构,结构各具有一个上表面、在结构上方和周围形成第二介电层,第二介电层具有一个上表面、以及抛光第二介电层,使其上表面与结构上表面大致一般齐。结构可以是矩形柱体或更复杂的几何形状。结构可以是相同的或各种形状的组合。

Description

通过ILD柱结构性加强多孔隙、低K介电薄膜
技术领域
本发明一般涉及微电子结构和制造方法,更特别涉及形成低介电常数的集成电路绝缘层。
背景技术
半导体制造技术的进步已经导致开发出具有多层互连的集成电路。在这种集成电路中,一个互连层上加工了图案的导电材料通过二氧化硅等材料形成的薄膜与另一个互连层上加工了图案的导电材料隔离开来。
不管导电材料是在单层还是在多层上,带有由绝缘材料分隔的加工了图案的导电材料的后果都是会形成不想要的电容器。微电子设备上由绝缘材料分隔的加工了图案的导电材料之间,或更简单的,互连层之间的寄生电容产生如RC延迟、不必要的功耗和电容耦合信号等效应,电容耦合信号也称为串音。
一种减小互连层之间不想要电容的方法是增加它们之间的距离。互连线之间的间隔增加会产生不利的影响,例如面积要求增加以及相应的制造成本增加。另一种减小互连层之间不想要电容的方法是使用具有低介电常数的绝缘材料。
发明内容
本发明的目的是提供一种在加工了图案的导体之间提供低寄生电容的结构以及形成该结构的方法。
根据本发明,提供了一种用于形成半导体结构的方法,包括:在第一介质层上形成第二介质层,其中第二介质层具有介电常数;给第二介质层加工图案,从而形成多个垂直方向的柱体,这些柱体各自有一个上表面;在柱体的上方和周围形成第三介质层,第三介质层有一个上表面并且填充所述柱体周围的区域,其中第三介质层具有介电常数,所述第二介质层的介电常数大于所述第三介质层的介电常数;其中所述多个垂直方向的柱体用于提供机械加强的第三介质层;抛光第三介质层,使其上表面与柱体上表面一般齐;以及在形成第三介质层之后,在第三介质层形成嵌入的金属互连。
一般而言,本发明的实施方案提供了集成电路上的低介电常数绝缘体。根据本发明的一个方面,方法通过提供穿过通孔和金属层的ILD柱体,ILD柱体又提供了ILD叠层的机械加强,可将多孔隙ILD材料加入Cu大马士革互连制造流程中。
本发明一些实施方案的一个优点是获得了低介电常数、具有承受随后的如化学机械抛光等处理所需要的机械强度的绝缘体。
本发明一些实施方案的另一个优点是加强结构提供的导热性大于多孔隙ILD独自能够提供的导热性。因为这个优点,加强结构在将热传导出晶体管等有源电路方面也有益于集成电路。
附图说明
图1是部分处理过的基底的示意截面图,依照现有技术方法在带有第一和第二层的复合层间介质中形成双大马士革开口。
图2是部分处理过的基底的示意截面图,依照现有技术方法在带有第一、第二和第三层的复合层间介质中形成双大马士革开口。
图3是部分处理过的基底的示意截面图,依照现有技术方法在带有第一、第二、第三、第四和第五层的复合层间介质中形成双大马士革开口。
图4是部分处理过的、带有第一介质层和第二介质层的基底的示意截面图。
图5是图4的结构在给第二介质层加工图案产生多个柱体之后的示意截面图。
图6是根据本发明的典型替代加强结构的示意顶视图。
图7是图5的结构在柱体上表面和周围形成第三介质层之后的示意截面图。
图8是图6的结构在将第三介质层平齐之后的示意截面图。
图9是图7的结构在第三介质层上蚀刻出双大马士革开口之后的示意截面图。
图10是图8的结构在双开口之中形成铜扩散阻挡层、在其上镀上铜金属、去掉多余的铜并在铜和第三介质层上形成蚀刻停止/阻挡层之后的示意截面图。
图11是说明一种根据本发明的处理的流程图。
图12是说明另一种根据本发明的处理的流程图。
具体实施方式
描述了用机械加强的层间介质结构和制造该结构的方法。这种用机械加强的层间介质结构至少对于具有多孔隙、低k层间介质的集成电路有用处。在下面的描述中,给出了很多特定细节,以促进对本发明的理解。但是对那些技术熟练和从本发明获取好处的人显而易见的是,可以使用从这里说明的那些内容变化而来的仪器、合成物和处理来实现本发明。
这里对“一个实施方案”、“一种实施方案”或类似表述的引用的意思是结合实施方案描述的一种特定特征、结构或特性包含在本发明的至少一个实施方案中。因此,这里出现的这种短语或表述不一定全部指相同的实施方案。此外,在一个或多个实施方案中可以以任何适合的方式组合不同的特定特征、结构或特性。
专用名词
术语芯片、集成电路、单片机、半导体设备和微电子设备在该领域中常常交换使用。本发明适用于上面所有术语在领域中的一般含义。
术语金属线、互连线、迹线、导线、导体、信号通路和信号媒介都是相关的。上面列出的相关术语通常都是可交换的,从出现顺序上是从特定到一般。在该领域中,金属线有时称作迹线、导线、线、互连或只称作金属。金属线一般是铝(Al)、铜(Cu)或Al和Cu的合金,是提供连接或互连的信号路径的导体。导体而不是金属可用于微电子设备。其它导体的例子有掺杂多晶硅、掺杂单晶硅(不管是通过热扩散还是离子注入完成这种掺杂,常常都简称作扩散)等材料、钽(Ti)、钼(Mo)、钴(Co)、镍(Ni)、钨(W)和耐火的金属硅化物。
术语触点和通孔都是指电连接不同连接层的导体的结构。在技术中有时使用这些术语来描述绝缘体中的开口,在绝缘体中结构将会是完整的,和完整的结构本身。对于本发明来说,触点和通孔都是指完整结构。
这里使用的窝锻指在抛光金属大马士革结构期间去掉一些材料,典型是金属大马士革结构的金属。由于窝锻表现为金属的过抛光(即去掉多余金属),所以类似于形成凹穴,但是窝锻典型形成抛物线或凹形金属表面,而且是由于抛光垫弯曲成大马士革结构时的机械作用。窝锻由厚度或距离度量,更特别的是,它由层间介质抛光后的表面和金属抛光后的表面之间的距离度量。
这里使用的侵蚀表示在抛光金属大马士革结构期间去掉的层的数量,典型是层间介质的数量。侵蚀由厚度或距离度量,更特别的是,它由层的原始表面和其抛光后的表面之间的距离度量。侵蚀通常是不希望的过抛光的结果。
低介电常数材料的表述指材料的介电常数低于二氧化硅。例如,有机聚合物、无定形氯化碳、超小型泡沫塑料、包含有机聚合物的硅基绝缘体、掺杂了碳的硅氧化物和掺杂了氯的硅氧化物的介电常数低于二氧化硅。
字母k一般用于表示介电常数。类似的,高k和低k在该领域中分别用于表示高介电常数和低介电常数。高和低是相对于SiO2的介电常数的。
如该领域中使用的术语层间介质理解为表示给定互连层上放置在互连线之间的介质材料,即,层间介质存在于相邻的互连线之间,而不是那些互连线的垂直上方和下方。
这里使用的术语垂直的基本意思是垂直于基底表面。
具有希望的电子特性的多孔隙、低kILD(层间介质)材料设计有机械加强的结构,以提供额外的强度,承受随后发生的、物理要求高的处理操作。这种处理操作包括,但不限于,在大马士革金属化处理中会遇到的那些操作。
由一根互连线看到的寄生电容是到另一个导体的距离和它们之间的材料的介电常数的函数。但是,增加互连线之间的间隔会增加物理大小,并因此增加集成电路的成本。所以,为了制造互连线之间的寄生电容低的集成电路,希望使用低介电常数的绝缘体使导体相互之间电绝缘。
一种减小寄生电容不利影响(即RC互连延迟)的方法是如上所述,在高级微电子产品(即集成电路)中使用低k材料作为绝缘体。为了获得低介电常数,制造者或者可以使用先天具有低介电常数的材料,或者制造者可以在材料中引入孔隙度。不幸的是,通过增加可以称作孔隙度的薄膜空隙度,可能会降低材料的热机械性能。
Cu大马士革互连结构中多孔隙的联合工艺是一个高要求的难题。例如,在大马士革金属化处理中使用化学机械抛光(CMP)去掉多余的Cu可能导致机械故障,这会导致底层的ILD薄膜分层或裂开。控制对Cu线的侵蚀和窝锻将主要确定加在这些不牢固ILD材料上的剪切量。同样,封装能够使互连层经受猛烈的剪切和法向力。相对于由Cu的CMP施加的应力,设计工作区来提高多孔隙ILD层的坚固性。一个示例工作区是在沟道层引入一个“假的”金属特征来提高CMP均匀性(即通过在密集和不密集的线特征之间生成均匀的空区来减少过抛光)。由于限制产生象散热器一样的多余通孔以控制金属自热,所以在通孔层包含称作“实体(dummification)”特征是一个更为挑战性的任务。
根据本发明,可以在Cu大马士革互连结构中加入多孔隙材料。在本发明的一个实施方案中,处理流程包括形成穿过通孔和金属层的ILD柱体(另外称为柱状物),达到机械加强ILD叠层。这些柱体也可以用作增加散热的热管道。但是,因为柱体的介电常数典型大于多孔隙、低k介质的介电常数,所以电容效应的折衷取决于柱体的位置及它们的材料组成。
通过几种已知的方法可以实现生成中孔性(极小孔性)的低k材料。例如,可以将这种多孔隙薄膜设计为具有未闭合和闭合结构的气凝胶/干凝胶(通过溶胶凝胶、加工图案处理、CVD等)。在这种薄膜中,可以通过选择前体和调节技术来调整孔隙半径和孔隙度。因为薄膜的机械强度随着孔隙度增加而趋向降低,所以已经发展了如电子束或UV整片曝光等处理来增加薄膜的机械强度(例如硬度/系数或断裂韧度)。但是,这些处理会通过增加薄膜密度或低k材料的交联范围来降低薄膜介电常数(即增加介电常数)。另外,多孔隙薄膜不易均匀受到这些处理足够的薄膜加强。
图1-3说明了在大马士革处理中形成的互连线之间提供低k绝缘材料的有问题方法。图1显示了一种掺杂了碳的硅氧化物(CDO),它虽然具有低于二氧化硅的介电常数,但没有象各种聚合物介质和高孔隙介质材料一样提供相同水平的增强。相对于图2和图3,大部分介质层由旋压聚合物或如高孔隙材料等其它类型的低k介质构成,介质层的剩余部分由一种相对更薄的替代介质材料层构成。在图2和3显示的各种结构中,抗横向切力的性能有限,如在各种集成电路制造过程中会遇到的横向切力。
在本发明说明性的实施方案中,使用二氧化硅柱体实现构成大部分ILD、比较不牢固的、高孔隙介质材料的机械加强。更特别的是,这些氧化物柱体提供了承受化学机械抛光产生的应力所必需的机械强度。应该提到的是,虽然硅氧化物是典型的,但柱体的构成不限于二氧化硅,还包括那些掺杂了氟、碳或两者的氧化物。
参看图4,显示了部分处理过的薄片横截面,包括第一介质层102和第二介质层402。图4没有显示在其中形成晶体管等各种电路元件的基底的底层部分。那些技术熟练和从本发明获取好处的人会认识到,这种电路元件的形成是众所周知的,就不再描述它们的形成和结构。第一介质层102典型是在底层的ILD层上形成的,由例如,但不限于,碳化硅、氮化硅或掺杂了碳的硅氧化物等材料制成。第一介质层102可以在ILD层上方形成,在ILD层中已经事先形成填充了金属的沟道和通孔。第二介质层402由机械强度大于高孔隙、低k介质材料所具有的机械强度的材料形成。在一个实施方案中,第二介质层402由二氧化硅形成。
参看图5,显示了图4在第二介质层402上加工图案形成ILD柱体502之后的结构。这些柱体还可以称作柱状物。虽然显示为所说明的实施方案中的柱体,但可以形成其它各种结构,包括但不限于如图6顶视图中显示的壁或交叉等结构。在二氧化硅上加工图案的方法在该领域中是众所周知的,可以包含在第二介质层402上形成一个光刻胶层、露出光刻胶和蚀刻第二介质层402的露出部分以形成柱体502等传统操作。如图5所示,柱体有指示蛤异性蚀刻的垂直侧壁。但是,本发明不限于通过蛤异性蚀刻形成柱体502或其它加强结构。通过蛤同性蚀刻和蛤同性与蛤异性蚀刻操作的组合也可以形成机械加强结构。那些技术熟练的人会认识到,蛤同性蚀刻化学处理会产生具有锥形侧壁,即倾斜侧壁的加强结构。柱体502的位置选择要基于,至少要部分基于在哪里形成互连线和通孔。即,选择柱体502的位置要使得不会干扰形成互连线和通孔。不管是使用大马士革金属处理还是脱除金属处理,这种选择过程都是有用的。
参看图6,显示了根据本发明的几种替代加强结构。ILD柱体502的顶视图显示为上表面502a。上表面602对应于一种壁或围墙形结构。上表面604对应于一种复合多边形形状的加强结构。上表面606对应于圆柱形的ILD柱体。上表面608对应于加强结构的又一种替代实施方案。对于那些技术熟练和从本发明获取好处的人显而易见的是,本发明的加强结构不限于任何特定形状。
参看图7,可以看到在形成柱体502之后,在柱体502上方和周围形成低k介质薄膜层504。在所说明的实施方案中,薄膜504是中孔性SiO2或聚合物等多孔隙薄膜,介电常数的范围是1.2到2.8。通过化学气相淀积(CVD)或旋压技术淀积金属可以实现形成低k薄膜504。
图8显示了图7在通过使介质层504的表面平齐来执行化学机械抛光以产生介质层506之后的结构。典型的,层506抛光后的高度大致等于柱体502的高度。换句话说,层506抛光后的上表面在ILD柱体502上表面平面之内或在平面的制造公差之内。要理解的是,因为包含柱体和构成四周介质层的材料在内的材料的物理属性不同,所以如果需要的话,可以通过抛光处理将柱体的上表面和介质层的上表面之间的垂直距离调整到某些范围内。
可以选择性的对介质层504进行老化或固化处理以增加其孔隙度。增加孔隙度是减小要制造的集成电路中电节点之间寄生电容所希望的。柱体502提供承受CMP等处理步骤期间遇到的压力的机械强度和稳定性。
图9显示了图8在介质层506中蚀刻出沟道开口106a和通孔开口106b之后的结构。沟道开口106a和通孔开口106b与形成金属互连的大马士革方法一致。给这些大马士革沟道和通孔开口加工图案在该领域的文献中是有文件很好证明的,这里就不再更为详细的描述了。
图10显示了图9在沟道开口106a和通孔开口106b的表面上形成铜扩散阻挡层508之后的结构。各种铜扩散阻挡层是已知的,一些是导电的,而其它是不导电的。在所说明的实施方案中,由TiNSi形成铜扩散阻挡层508。应该提到的是,可以使用其它材料,包括但不限于TiN、TaN和Ta。在阻挡层508上形成铜籽晶层并淀积(即镀)铜510,从而填充通孔开口106b和沟道开口106a。通过化学机械抛光去掉多余的铜并在柱体502、多孔隙低k介质506、扩散阻挡层508和铜510上形成蚀刻停止/阻挡层512。蚀刻停止/阻挡层512可以由如,但不限于,碳化硅、氮化硅和掺杂了碳的硅氧化物等材料形成。
图11-12的流程图显示了本发明的处理。图11说明了一种形成在其中嵌有机械加强结构的介质层的方法。图12说明了一种在集成电路上形成互连,包括形成其中具有加强结构的介质层的方法。
图11说明了一种方法,其中在基底上形成至少一种垂直方向的不导电加强结构(702)。如上所示,通过传统的光刻方法可以给二氧化硅柱体加工图案。在加强结构之间填充介质层,典型是机械不牢固的材料,例如但不限于多孔隙材料。可以由二氧化硅之外的材料形成柱体或具有其它形状的加强结构,只要它们为随后形成的介质层增加强度提供所需要的机械加强。
图12说明的过程包括在金属导体和层间介质上形成第一介质层(802)。这典型是通过在Cu大马士革互连层上形成铜扩散阻挡层来完成的。然后在第一介质层上形成第二介质层(804)。这个第二介质层是在其中构造机械或结构加强元件的地方。在该说明性实施方案中构造机械加强元件或结构是通过给第二介质层加工图案来完成的(806)。然后在加工了图案的第二介质层上方和邻近形成第三介质层。第三介质层典型是介电常数比第二介质材料的介电常数低的材料。但是,第三介质层机械上也不如第二介质材料牢固。在本发明的这个说明性实施方案中,接下来使第三介质层平齐,使得其上表面与加工了图案的第二介质层的上表面大致一般齐(810)。然后依照已知的大马士革金属化技术在第三介质层中形成嵌入的金属互连。
通过对所说明的实施方案的不同改变和替换可以实现本发明。例如,可以在包含除硅之外的材料的基底上实现本发明,例如砷化镓或蓝宝石。同样,可以用形成金属互连线的铜合金实现本发明。
那些技术熟练的人很容易理解,在不偏离如附加权利要求中表述的本发明原理和范围的情况下,可以在为了解释本发明特性而描述和说明的细节、材料以及组成部分和操作的排列上进行其它各种改变。

Claims (9)

1.一种用于形成半导体结构的方法,包括:
在第一介质层上形成第二介质层,其中第二介质层具有介电常数;
给第二介质层加工图案,从而形成多个垂直方向的柱体,这些柱体各自有一个上表面;
在柱体的上方和周围形成第三介质层,第三介质层有一个上表面并且填充所述柱体周围的区域,其中第三介质层具有介电常数,所述第二介质层的介电常数大于所述第三介质层的介电常数;
其中所述多个垂直方向的柱体用于提供机械加强的第三介质层;
抛光第三介质层,使其上表面与柱体上表面一般齐;以及
在形成第三介质层之后,在第三介质层形成嵌入的金属互连。
2.权利要求1的方法,其中第一介质层包含介质材料。
3.权利要求1的方法,其中第一介质层的材料是从碳化硅、氮化硅和掺杂了碳的硅氧化物构成的组中选择的。
4.权利要求1的方法,还包括固化第三介质层。
5.权利要求1的方法,还包括老化第三介质层。
6.权利要求1的方法,还包括在第三介质层中形成双大马士革开口。
7.权利要求1的方法,其中所形成的第二介质层包括淀积硅氧化物。
8.权利要求7的方法,其中形成第三介质层的步骤包括化学气相淀积比SiO2的介电常数低的材料。
9.权利要求7的方法,其中形成第三介质层的步骤包括旋压比SiO2的介电常数低的材料。
CNB01821178XA 2000-12-21 2001-11-20 通过ild柱结构性加强多孔隙、低k介电薄膜 Expired - Fee Related CN1305118C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/745,705 2000-12-21
US09/745,705 US6984581B2 (en) 2000-12-21 2000-12-21 Structural reinforcement of highly porous low k dielectric films by ILD posts

Publications (2)

Publication Number Publication Date
CN1528012A CN1528012A (zh) 2004-09-08
CN1305118C true CN1305118C (zh) 2007-03-14

Family

ID=24997882

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB01821178XA Expired - Fee Related CN1305118C (zh) 2000-12-21 2001-11-20 通过ild柱结构性加强多孔隙、低k介电薄膜

Country Status (9)

Country Link
US (2) US6984581B2 (zh)
EP (1) EP1405337B1 (zh)
CN (1) CN1305118C (zh)
AT (1) ATE405945T1 (zh)
AU (1) AU2002217931A1 (zh)
DE (1) DE60135503D1 (zh)
HK (1) HK1067789A1 (zh)
TW (1) TW529104B (zh)
WO (1) WO2002052630A2 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6603204B2 (en) * 2001-02-28 2003-08-05 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US6734533B2 (en) * 2002-05-30 2004-05-11 Intel Corporation Electron-beam treated CDO films
KR100873801B1 (ko) * 2002-07-19 2008-12-15 매그나칩 반도체 유한회사 탄소나노튜브를 이용한 반도체 소자의 금속배선 형성방법
JP4041785B2 (ja) * 2003-09-26 2008-01-30 松下電器産業株式会社 半導体装置の製造方法
US7678682B2 (en) * 2004-11-12 2010-03-16 Axcelis Technologies, Inc. Ultraviolet assisted pore sealing of porous low k dielectric films
US7545045B2 (en) * 2005-03-24 2009-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy via for reducing proximity effect and method of using the same
US7422975B2 (en) * 2005-08-18 2008-09-09 Sony Corporation Composite inter-level dielectric structure for an integrated circuit
US7749896B2 (en) * 2005-08-23 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
WO2007093931A1 (en) * 2006-02-13 2007-08-23 Nxp B.V. Interconnect structure and method of manufacture
KR100835423B1 (ko) 2006-08-29 2008-06-04 동부일렉트로닉스 주식회사 반도체 제조 공정에서의 듀얼 다마신 패턴 형성 방법
KR100900231B1 (ko) * 2007-06-21 2009-06-02 주식회사 하이닉스반도체 반도체 소자의 제조방법
KR20100101461A (ko) * 2009-03-09 2010-09-17 삼성전자주식회사 절연층들의 경계면이 길어진 반도체 소자
KR101674057B1 (ko) * 2010-04-01 2016-11-08 삼성전자 주식회사 강화된 복합 절연막을 포함하는 반도체 칩 구조 및 그 제조 방법
TWI551708B (zh) * 2011-07-22 2016-10-01 應用材料股份有限公司 使用金屬前驅物之原子層沉積法
US8828489B2 (en) 2011-08-19 2014-09-09 International Business Machines Corporation Homogeneous modification of porous films
CN102376684B (zh) * 2011-11-25 2016-04-06 上海集成电路研发中心有限公司 铜互连结构及其制作方法
US9310552B2 (en) 2012-06-15 2016-04-12 Micron Technology, Inc. Methods and apparatus providing thermal isolation of photonic devices
US9831214B2 (en) * 2014-06-18 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device packages, packaging methods, and packaged semiconductor devices
US10177032B2 (en) * 2014-06-18 2019-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaging devices, and methods of packaging semiconductor devices
CN108955546B (zh) * 2018-05-17 2019-08-23 哈尔滨工业大学 激光移相干涉三角微位移测量装置及方法
US10559625B1 (en) 2018-08-08 2020-02-11 International Business Machines Corporation RRAM cells in crossbar array architecture

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5789819A (en) * 1994-05-20 1998-08-04 Texas Instruments Incorporated Low dielectric constant material for electronics applications
US5965939A (en) * 1992-04-16 1999-10-12 Samsung Electronics Co., Ltd. Semiconductor device and a method of manufacture
WO1999052136A1 (fr) * 1998-04-01 1999-10-14 Asahi Kasei Kogyo Kabushiki Kaisha Procede de fabrication d'un corps structurel d'interconnexion
US6063714A (en) * 1995-11-16 2000-05-16 Texas Instruments Incorporated Nanoporous dielectric thin film surface modification

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6109775A (en) 1991-07-19 2000-08-29 Lsi Logic Corporation Method for adjusting the density of lines and contact openings across a substrate region for improving the chemical-mechanical polishing of a thin-film later disposed thereon
DE69535718T2 (de) 1994-05-27 2009-03-19 Texas Instruments Inc., Dallas Verbindungsverfahren mit Benutzung eines porösen Isolators zur Reduzierung der Kapazitäten zwischen Leiterbahnen
US5504042A (en) * 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
KR0179838B1 (ko) * 1995-09-02 1999-04-15 문정환 반도체 소자의 절연막 구조 및 절연막 평탄화 방법
JP2910713B2 (ja) 1996-12-25 1999-06-23 日本電気株式会社 半導体装置の製造方法
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6156660A (en) 1999-02-05 2000-12-05 Taiwan Semiconductor Manufacturing Company Method of planarization using dummy leads
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6610592B1 (en) 2000-04-24 2003-08-26 Taiwan Semiconductor Manufacturing Company Method for integrating low-K materials in semiconductor fabrication
US6429117B1 (en) 2000-07-19 2002-08-06 Chartered Semiconductor Manufacturing Ltd. Method to create copper traps by modifying treatment on the dielectrics surface
US6432811B1 (en) * 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5965939A (en) * 1992-04-16 1999-10-12 Samsung Electronics Co., Ltd. Semiconductor device and a method of manufacture
US5789819A (en) * 1994-05-20 1998-08-04 Texas Instruments Incorporated Low dielectric constant material for electronics applications
US6063714A (en) * 1995-11-16 2000-05-16 Texas Instruments Incorporated Nanoporous dielectric thin film surface modification
WO1999052136A1 (fr) * 1998-04-01 1999-10-14 Asahi Kasei Kogyo Kabushiki Kaisha Procede de fabrication d'un corps structurel d'interconnexion

Also Published As

Publication number Publication date
CN1528012A (zh) 2004-09-08
HK1067789A1 (en) 2005-04-15
US6984581B2 (en) 2006-01-10
EP1405337A2 (en) 2004-04-07
DE60135503D1 (de) 2008-10-02
EP1405337B1 (en) 2008-08-20
ATE405945T1 (de) 2008-09-15
AU2002217931A1 (en) 2002-07-08
TW529104B (en) 2003-04-21
WO2002052630A2 (en) 2002-07-04
WO2002052630A3 (en) 2004-01-08
US20020079585A1 (en) 2002-06-27
US20020079583A1 (en) 2002-06-27

Similar Documents

Publication Publication Date Title
CN1305118C (zh) 通过ild柱结构性加强多孔隙、低k介电薄膜
CN1276499C (zh) 利用铜扩散阻挡结构的高多孔低k电介质膜的结构加强
US7071532B2 (en) Adjustable self-aligned air gap dielectric for low capacitance wiring
US9093501B2 (en) Interconnection wires of semiconductor devices
JP5558662B2 (ja) デバイス、方法(mimキャパシタおよびその製造方法)
CN100403517C (zh) 双镶嵌结构、内连结构及其制造方法
CN1959976A (zh) 后端金属化结构及其制造方法
US8212330B2 (en) Process for improving the reliability of interconnect structures and resulting structure
US7781892B2 (en) Interconnect structure and method of fabricating same
JP5305651B2 (ja) 回路の配線構造および集積回路の配線構造の製作方法
JP2010103329A (ja) 半導体装置の製造方法及び半導体装置
US20060207965A1 (en) Method to form both high and low-k materials over the same dielectric region, and their application in mixed mode circuits
US20230170254A1 (en) Double patterning approach by direct metal etch
US20050112957A1 (en) Partial inter-locking metal contact structure for semiconductor devices and method of manufacture
KR101077711B1 (ko) 반도체 디바이스 제조 방법
US7125791B2 (en) Advanced copper damascene structure
CN1202569C (zh) 在铜镶嵌制程中形成金属-绝缘-金属型(mim)电容器的方法
JP2005129937A (ja) 低k集積回路相互接続構造
US20060089001A1 (en) Localized use of high-K dielectric for high performance capacitor structures
US6750544B1 (en) Metallization system for use in a semiconductor component

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
REG Reference to a national code

Ref country code: HK

Ref legal event code: DE

Ref document number: 1067789

Country of ref document: HK

C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20070314

Termination date: 20101120