US20020079585A1 - Structural reinforcement of highly porous low k dielectric films by ILD posts - Google Patents

Structural reinforcement of highly porous low k dielectric films by ILD posts Download PDF

Info

Publication number
US20020079585A1
US20020079585A1 US10/025,260 US2526001A US2002079585A1 US 20020079585 A1 US20020079585 A1 US 20020079585A1 US 2526001 A US2526001 A US 2526001A US 2002079585 A1 US2002079585 A1 US 2002079585A1
Authority
US
United States
Prior art keywords
dielectric layer
dielectric
structures
posts
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US10/025,260
Inventor
Lawrence Wong
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US10/025,260 priority Critical patent/US20020079585A1/en
Publication of US20020079585A1 publication Critical patent/US20020079585A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • the present invention relates generally to microelectronic structures and fabrication methods, and more particularly to the formation of integrated circuit insulation having low dielectric constants.
  • patterned conductive material on one interconnect level is electrically insulated from patterned conductive material on another interconnect level by films of material such as silicon dioxide.
  • the parasitic capacitance between patterned conductive material, or more simply, interconnects, separated by insulating material on microelectronic devices contributes to effects such as RC delay, unnecessary power dissipation, and capacitively coupled signals, also known as cross-talk.
  • One way to reduce the unwanted capacitance between the interconnects is to increase the distance between them. Increased spacing between interconnect lines has adverse consequences, such as increased area requirements and the corresponding increases in manufacturing costs. Another way to reduce the unwanted capacitance between the interconnects is to use an insulating material with a lower dielectric constant.
  • FIG. 1 is a schematic cross-section of a partially processed substrate showing a dual damascene opening formed in a composite interlayer dielectric having first and second layers in accordance with a prior art method.
  • FIG. 2 is a schematic cross-section of a partially processed substrate showing a dual damascene opening formed in a composite interlayer dielectric having first, second, and third layers in accordance with a prior art method.
  • FIG. 3 is a schematic cross-section of a partially processed substrate showing a dual damascene opening formed in a composite interlayer dielectric having first, second, third, fourth, and fifth layers in accordance with a prior art method.
  • FIG. 4 is a schematic cross-section of a partially processed substrate having a first dielectric layer, and a second dielectric layer.
  • FIG. 5 is a schematic cross-section the structure of FIG. 4, after the second dielectric layer has been patterned to produce a plurality of posts.
  • FIG. 6 is a schematic top view of exemplary alternative reinforcement structures in accordance with the present invention.
  • FIG. 7 is a schematic cross-section of the structure of FIG. 5, after a third dielectric layer has been formed over and around the posts.
  • FIG. 8 is a schematic cross-section of the structure of FIG. 6, after the third dielectric layer has been planarized.
  • FIG. 9 is a schematic cross-section the of the structure of FIG. 7, after dual damascene openings have been etched in the third dielectric layer.
  • FIG. 10 is a schematic cross-section of the structure of FIG. 8 after the dual damascene openings have had a copper diffusion barrier formed therein, copper metal has been plated thereon, excess copper has been removed and an etch stop/barrier layer has been formed over the copper, and third dielectric layer.
  • FIG. 11 is a flow diagram illustrating a process in accordance with the present invention.
  • FIG. 12 is a flow diagram illustrating an alternative process in accordance with the present invention.
  • references herein to “one embodiment”, “an embodiment”, or similar formulations, means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the present invention. Thus, the appearances of such phrases or formulations herein are not necessarily all referring to the same embodiment. Furthermore, various particular features, structures, or characteristics may be combined in any suitable manner in one or more embodiments.
  • metal line interconnect line, trace, wire, conductor, signal path and signaling medium are all related. The related terms listed above, are generally interchangeable, and appear in order from specific to general. In this field, metal lines are sometimes referred to as traces, wires, lines, interconnect or simply metal. Metal lines, generally aluminum (Al), copper (Cu) or an alloy of Al and Cu, are conductors that provide signal paths for coupling or interconnecting, electrical circuitry. Conductors other than metal are available in microelectronic devices.
  • doped polysilicon doped single-crystal silicon (often referred to simply as diffusion, regardless of whether such doping is achieved by thermal diffusion or ion implantation), titanium (Ti), molybdenum (Mo), cobalt (Co), nickel (Ni), tungsten (W), and refractory metal silicides are examples of other conductors.
  • contact and via both refer to structures for electrical connection of conductors from different interconnect levels. These terms are sometimes used in the art to describe both an opening in an insulator in which the structure will be completed, and the completed structure itself. For purposes of this disclosure, contact and via refer to the completed structure.
  • Dishing refers to an amount of material, typically the metal of a metal damascene structure, that is removed during the polishing of the metal damascene structure. Dishing is similar to recess in that it represents an overpolishing of the metal (i.e., excess material removal), however dishing typically results in a parabolic or concave shaped metal surface and is due to a mechanical interaction as the polish pad bends into the damascene structure. Dishing is measured as a thickness, or distance, and more particularly, it is a measure of the distance between the post-polish surface of the interlayer dielectric and the post-polish surface of the metal.
  • Erosion refers to the amount of a layer, typically an interlayer dielectric, that is removed during the polishing of a metal damascene structure. Erosion is measured as a thickness, or distance, and more particularly, it is a measure of the distance between the original surface of the layer and its post-polish surface. Erosion is generally an undesirable result of overpolishing.
  • low dielectric constant material refers to materials having a lower dielectric constant than silicon dioxide.
  • organic polymers, amorphous fluorinated carbons, nanofoams, silicon based insulators containing organic polymers, carbon doped oxides of silicon, and fluorine doped oxides of silicon have lower dielectric constants than silicon dioxide.
  • the letter k is often used to refer to dielectric constant.
  • the terms high-k, and low-k are used in this field to refer to high dielectric constant and low dielectric constant respectively. High and low are relative to the dielectric constant of SiO 2 .
  • intralayer dielectric as used in this field is understood to refer to the dielectric material disposed between interconnect lines on a given interconnect level. That is, an intralayer dielectric is found between adjacent interconnect lines, rather than vertically above or below those interconnect lines.
  • vertical means substantially perpendicular to the surface of a substrate.
  • the parasitic capacitance seen by an interconnect line is a function of the distance to another conductor and the dielectric constant of the material therebetween.
  • increasing the spacing between interconnect lines increases the physical size, and thus the cost, of an integrated circuit. Therefore, in order to manufacture integrated circuits with low parasitic capacitance between interconnect lines, it is desirable to electrically isolate the conductors from each other with an insulator having a low dielectric constant.
  • One way to reduce the adverse effects of parasitic capacitance is to, as mentioned above, use low-k materials as insulators in advanced microelectronics products (e.g., integrated circuits).
  • a manufacturer can either use a material which inherently possesses a low dielectric constant, and/or the manufacturer can introduce porosity into the material.
  • porosity the thermal-mechanical properties of the material may be degraded.
  • One example workaround is to introduce “dummy” metal features at the trench level to improve CMP uniformity (i.e., reduce over-polish by creating uniform clearing between dense and non-dense line features).
  • Inclusion of so-called “dummification” features at the via layers are a more challenging task due to limitations of creating redundant vias as heat sinks to control metal self-heating.
  • a process flow includes the formation of ILD posts (alternatively referred to as pillars) through the via and metal layers which provide mechanical reinforcement of an ILD stack. These posts may also act as thermal conduits for improved heat removal.
  • the posts typically have a dielectric constant that is greater than the dielectric constant of the porous low-k dielectric, the tradeoff in capacitance effects depends upon the placement of the posts and their material composition.
  • mesoporous (nanoporous) low-k materials can be achieved by several known methods.
  • porous films can be engineered as aerogels/xerogels (by sol-gel, templating processes, CVD, etc.) with either open or closed pore structures.
  • the pore radius and void fraction can be modulated by the choice of precursors and conditioning techniques.
  • processes such as e-beam, or UV flood exposure, have been developed to increase the mechanical strength (such as hardness/modulus, or fracture toughness) of the film.
  • FIGS. 1 - 3 illustrate problematic approaches to providing a low-k insulating material between interconnect lines formed in a damascene process.
  • FIG. 1 shows a carbon doped oxide (CDO) of silicon which, while possessing a dielectric constant less than that of silicon dioxide, does not provide the same level of improvement as do various polymer dielectrics and highly porous dielectric materials.
  • CDO carbon doped oxide
  • the bulk of the dielectric layer is comprised of a spin-on polymer, or other type of low-k dielectric such as a highly porous material, and the remainder of the dielectric layer is comprised of one more relatively thin layers of alternative dielectric material.
  • there is limited resistance to lateral shearing forces such as may be encountered during various integrated circuit manufacturing processes.
  • silicon dioxide posts are used to provide mechanical reinforcement of the comparatively weak, highly porous dielectric material which makes up the bulk of the ILD. More particularly, these oxide posts provide the mechanical strength necessary to stand up to the stresses created by chemical mechanical polishing. It should be noted that the composition of the posts is not limited to silicon dioxide, although oxides of silicon are typical, including those oxides that are doped with fluorine, carbon, or both.
  • FIG. 4 a cross-section of a partially processed wafer is shown including a first dielectric layer 102 and a second dielectric layer 402 .
  • FIG. 4 does not show the underlying portion of the substrate in which various circuit elements such as transistors are formed.
  • First dielectric layer 102 is typically formed over an underlying ILD layer, and be made from materials such as, but not limited to, silicon carbide, silicon nitride, or carbon doped oxides of silicon.
  • First dielectric layer 102 may be formed over an ILD layer in which metal filled trenches and vias have previously been formed.
  • Second dielectric layer 402 is formed of a material that has greater mechanical strength than that possessed by highly porous low-k dielectric materials. In one embodiment, second dielectric layer 402 is made of silicon dioxide.
  • FIG. 5 the structure of FIG. 4 is shown after second dielectric layer 402 has been patterned to form ILD posts 502 .
  • These posts may alternatively be referred to as pillars.
  • various other structures may be patterned, including but not limited to structures such as walls or crosses, as shown in top view in FIG. 6.
  • Methods for patterning silicon dioxide are well known in this field and may include the conventional operations of forming a layer of photoresist over second dielectric layer 402 , exposing and developing the photoresist, and etching the exposed portions of second dielectric layer 402 to form posts 502 .
  • the posts have vertical sidewalls indicating an anisotropic etch.
  • the invention is not limited to formation of posts 502 , or other reinforcing structures, by an anisotropic etch.
  • Mechanical reinforcement structures may also be formed by isotropic etch, or a combination of isotropic and anisotropic etch operations. Those skilled in the art will recognize that isotropic etch chemistries will produce reinforcing structures having tapered, i.e., sloping sidewalls.
  • the locations of posts 502 are selected based, at least in part, on where the interconnect lines and vias are to be formed. That is, the locations of posts 502 are selected so as to not interfere with the formation of interconnect lines and vias. This selection process is useful regardless of whether a damascene metal process or a subtractive metal process is used.
  • top views of ILD posts 502 are shown as top surfaces 502 a.
  • a top surface 602 corresponds to a wall, or fence-like, structure.
  • Top surface 604 corresponds to a reinforcing structure in the shape of a complex polygon.
  • Top surface 606 corresponds to an ILD post that is cylindrical.
  • Top surface 608 corresponds to yet another alternative embodiment of a reinforcing structure. It will be apparent to those skilled in the art and having the benefit of this disclosure that the reinforcing structures of the present invention are not limited to any particular shape.
  • a layer of low-k dielectric film 604 is formed over and around posts 502 .
  • film 504 is a porous film such as a mesoporous SiO 2 , or a polymer, and has a dielectric constant in the range of 1.2 to 2.8. Formation of low-k film 504 may be achieved by depositing material either by chemical vapor deposition (CVD) or by spin-on techniques.
  • FIG. 8 shows the structure of FIG. 7, after chemical mechanical polishing has been performed to produce dielectric layer 506 by planarizing the surface of dielectric layer 504 .
  • the post-polish height of layer 506 is substantially equal to the height of posts 502 .
  • the post-polish top surface of layer 506 is in the plane of, or within manufacturing tolerances of the plane of the top surface of ILD posts 502 . It will be understood that because of the different physical properties of the materials which comprise the posts and the materials which comprise the surrounding dielectric layer, the vertical distances between the top surfaces of the posts and the top surfaces of the dielectric layer may, if so desired, be adjusted to some extent by the polishing process.
  • dielectric layer 504 may be subjected to an aging or a curing process in order to increase its porosity.
  • the increased porosity is desirable to reduce the parasitic capacitance between electrical nodes in the integrated circuit which is being manufactured.
  • Posts 502 provide the mechanical strength and stability to withstand the forces encountered during processing steps such as CMP.
  • FIG. 9 shows the structure of FIG. 8, after trench opening 106 a, and via opening 106 b have been etched into dielectric layer 506 .
  • Trench opening 106 a and via opening 106 b are consistent with the damascene method of forming metal interconnections. The patterning of these damascene trench and via openings is well-documented in the literature of this field and will not be described in greater detail herein.
  • FIG. 10 shows the structure of FIG. 9, after a copper diffusion barrier 508 is formed upon the surfaces of trench opening 106 a and via opening 106 b.
  • copper diffusion barrier 508 is formed of TiNSi. It should be noted that other materials, including but not limited to, TiN, TaN and Ta may be used.
  • a copper seed layer is formed over barrier 508 and copper 510 is deposited (i.e., plated) so as to fill via opening 106 b and trench opening 106 a.
  • Etch stop/barrier layer 512 is formed over posts 502 , porous low-k dielectric 506 , diffusion barrier 508 , and copper 510 .
  • Etch stop/barrier layer 512 may be formed of a material such as, but not limited to, silicon carbide, silicon nitride, and carbon doped oxides of silicon.
  • FIGS. 11 - 12 are flow diagrams showing processes in accordance with the present invention.
  • FIG. 11 illustrates a method of forming a dielectric layer having mechanically reinforcing structures embedded therein.
  • FIG. 12 illustrates a method of forming interconnects on an integrated circuit, including forming a dielectric layer having reinforcing structures therein.
  • FIG. 11 illustrates a method in which at least one vertically-oriented non-conductive reinforcing structure is formed on a substrate ( 702 ).
  • silicon dioxide posts may be patterned by conventional photolithographic methods.
  • a dielectric layer typically a mechanically weak material, such as, but not limited to, a highly porous material, is disposed between the reinforcing structures ( 704 ).
  • the posts, or reinforcing structures having other shapes may be formed from materials other than silicon dioxide, as long as they provide the mechanical reinforcement needed to give strength to the subsequently formed dielectric layer.
  • FIG. 12 illustrates a process including forming a first dielectric layer over metal conductors and an intralayer dielectric ( 802 ). This is typically accomplished by forming a copper diffusion barrier over of Cu damascene interconnect level. A second dielectric layer is then formed over the first dielectric layer ( 804 ). This second dielectric layer is the one from which mechanically, or structurally, reinforcing members are constructed. The construction of mechanically reinforcing members, or structures, is accomplished in this illustrative embodiment by patterning the second dielectric layer ( 806 ). A third dielectric layer is then formed over and adjacent the patterned second dielectric layer ( 808 ). This third dielectric layer is typically of a material that provides a lower dielectric constant than that of the second dielectric material.
  • the third dielectric layer is also mechanically weaker than the material of the second dielectric.
  • the third dielectric layer is then planarized such that its top surface is substantially even with the top surface of the patterned second dielectric layer ( 810 ).
  • Inlaid metal interconnections are then formed in the third dielectric layer in accordance with known damascene metallization techniques ( 812 ).
  • embodiments of the present invention provide low dielectric constant insulators on integrated circuits.
  • Methods, in accordance with one aspect of the present invention permit integration of highly porous ILD materials into a Cu damascene interconnect manufacturing flow by providing ILD posts through the via and metal layers which in turn provide mechanical reinforcement of the ILD stack.
  • An advantage of some embodiments of the present invention is that low dielectric constants insulators having the necessary mechanical strength to withstand subsequent processing, such as for example, chemical mechanical polishing are obtained.
  • a further advantage of some embodiments of the present invention is that the reinforcing structures provide a greater degree of thermal conduction than is possible with the highly porous ILD alone. Because of this, the reinforcing structures may also benefit an integrated circuit in terms of conducting heat away from the active circuit elements such as transistors.
  • the present invention may be implemented with various changes and substitutions to the illustrated embodiments.
  • the present invention may be implemented on substrates comprised of materials other than silicon, such as, for example, gallium arsenide or sapphire.
  • the present invention may be implemented with various alloys of copper forming the metal interconnect lines.

Abstract

Highly porous, low-k dielectric materials are mechanically reinforced to enable the use of these low-k materials as interlayer dielectrics in advanced integrated circuits such as those which incorporate highly porous ILD materials in a Cu damascene interconnect technology. An integrated circuit, embodying such a mechanically reinforced ILD generally includes a substrate having interconnected electrical elements therein, a first dielectric layer disposed over the substrate, a plurality of electrically insulating structures disposed on the first dielectric layer, and a second dielectric layer disposed on the first dielectric layer such that the second dielectric surrounds the plurality of structures. A process, for making a mechanically reinforced, highly porous, low-k ILD, generally includes forming a first dielectric layer on a substrate, patterning the first dielectric layer such that a plurality of structures are formed, the structures each having a top surface, forming a second dielectric layer over and adjacent to the structures, the second dielectric layer having a top surface, and polishing the second dielectric layer such that its top surface is substantially even with the top surfaces of the structures. The structures may be rectangular posts, or more geometrically complex forms. The structures may be identical, or a combination of various forms.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The present invention relates generally to microelectronic structures and fabrication methods, and more particularly to the formation of integrated circuit insulation having low dielectric constants. [0002]
  • 2. Background [0003]
  • Advances in semiconductor manufacturing technology have led to the development of integrated circuits having multiple levels of interconnect. In such an integrated circuit, patterned conductive material on one interconnect level is electrically insulated from patterned conductive material on another interconnect level by films of material such as silicon dioxide. [0004]
  • A consequence of having of patterned conductive material separated by an insulating material, whether the conductive material is on a single level or multiple levels, is the formation of undesired capacitors. The parasitic capacitance between patterned conductive material, or more simply, interconnects, separated by insulating material on microelectronic devices contributes to effects such as RC delay, unnecessary power dissipation, and capacitively coupled signals, also known as cross-talk. [0005]
  • One way to reduce the unwanted capacitance between the interconnects is to increase the distance between them. Increased spacing between interconnect lines has adverse consequences, such as increased area requirements and the corresponding increases in manufacturing costs. Another way to reduce the unwanted capacitance between the interconnects is to use an insulating material with a lower dielectric constant. [0006]
  • What is needed is a structure providing low parasitic capacitance between patterned conductors, and methods of making such a structure.[0007]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic cross-section of a partially processed substrate showing a dual damascene opening formed in a composite interlayer dielectric having first and second layers in accordance with a prior art method. [0008]
  • FIG. 2 is a schematic cross-section of a partially processed substrate showing a dual damascene opening formed in a composite interlayer dielectric having first, second, and third layers in accordance with a prior art method. [0009]
  • FIG. 3 is a schematic cross-section of a partially processed substrate showing a dual damascene opening formed in a composite interlayer dielectric having first, second, third, fourth, and fifth layers in accordance with a prior art method. [0010]
  • FIG. 4 is a schematic cross-section of a partially processed substrate having a first dielectric layer, and a second dielectric layer. [0011]
  • FIG. 5 is a schematic cross-section the structure of FIG. 4, after the second dielectric layer has been patterned to produce a plurality of posts. [0012]
  • FIG. 6 is a schematic top view of exemplary alternative reinforcement structures in accordance with the present invention. [0013]
  • FIG. 7 is a schematic cross-section of the structure of FIG. 5, after a third dielectric layer has been formed over and around the posts. [0014]
  • FIG. 8 is a schematic cross-section of the structure of FIG. 6, after the third dielectric layer has been planarized. [0015]
  • FIG. 9 is a schematic cross-section the of the structure of FIG. 7, after dual damascene openings have been etched in the third dielectric layer. [0016]
  • FIG. 10 is a schematic cross-section of the structure of FIG. 8 after the dual damascene openings have had a copper diffusion barrier formed therein, copper metal has been plated thereon, excess copper has been removed and an etch stop/barrier layer has been formed over the copper, and third dielectric layer. [0017]
  • FIG. 11 is a flow diagram illustrating a process in accordance with the present invention. [0018]
  • FIG. 12 is a flow diagram illustrating an alternative process in accordance with the present invention.[0019]
  • DETAILED DESCRIPTION
  • Mechanically reinforced interlayer dielectric structures and methods of manufacturing such structures are described. Such mechanically reinforced interlayer dielectric structures are useful for, at least, integrated circuits having highly porous low-k interlayer dielectrics. In the following description, numerous specific details are set forth to provide an understanding of the present invention. It will be apparent however, to those skilled in the art and having the benefit of this disclosure, that the present invention may be practiced with apparatus, compositions, and processes that vary from those specified herein. [0020]
  • Reference herein to “one embodiment”, “an embodiment”, or similar formulations, means that a particular feature, structure, or characteristic described in connection with the embodiment is included in at least one embodiment of the present invention. Thus, the appearances of such phrases or formulations herein are not necessarily all referring to the same embodiment. Furthermore, various particular features, structures, or characteristics may be combined in any suitable manner in one or more embodiments. [0021]
  • Terminology [0022]
  • The terms, chip, integrated circuit, monolithic device, semiconductor device, and microelectronic device, are often used interchangeably in this field. The present invention is applicable to all the above as they are generally understood in the field. [0023]
  • The terms metal line, interconnect line, trace, wire, conductor, signal path and signaling medium are all related. The related terms listed above, are generally interchangeable, and appear in order from specific to general. In this field, metal lines are sometimes referred to as traces, wires, lines, interconnect or simply metal. Metal lines, generally aluminum (Al), copper (Cu) or an alloy of Al and Cu, are conductors that provide signal paths for coupling or interconnecting, electrical circuitry. Conductors other than metal are available in microelectronic devices. Materials such as doped polysilicon, doped single-crystal silicon (often referred to simply as diffusion, regardless of whether such doping is achieved by thermal diffusion or ion implantation), titanium (Ti), molybdenum (Mo), cobalt (Co), nickel (Ni), tungsten (W), and refractory metal silicides are examples of other conductors. [0024]
  • The terms contact and via, both refer to structures for electrical connection of conductors from different interconnect levels. These terms are sometimes used in the art to describe both an opening in an insulator in which the structure will be completed, and the completed structure itself. For purposes of this disclosure, contact and via refer to the completed structure. [0025]
  • Dishing, as used herein, refers to an amount of material, typically the metal of a metal damascene structure, that is removed during the polishing of the metal damascene structure. Dishing is similar to recess in that it represents an overpolishing of the metal (i.e., excess material removal), however dishing typically results in a parabolic or concave shaped metal surface and is due to a mechanical interaction as the polish pad bends into the damascene structure. Dishing is measured as a thickness, or distance, and more particularly, it is a measure of the distance between the post-polish surface of the interlayer dielectric and the post-polish surface of the metal. [0026]
  • Erosion, as used herein, refers to the amount of a layer, typically an interlayer dielectric, that is removed during the polishing of a metal damascene structure. Erosion is measured as a thickness, or distance, and more particularly, it is a measure of the distance between the original surface of the layer and its post-polish surface. Erosion is generally an undesirable result of overpolishing. [0027]
  • The expression, low dielectric constant material, refers to materials having a lower dielectric constant than silicon dioxide. For example, organic polymers, amorphous fluorinated carbons, nanofoams, silicon based insulators containing organic polymers, carbon doped oxides of silicon, and fluorine doped oxides of silicon have lower dielectric constants than silicon dioxide. [0028]
  • The letter k, is often used to refer to dielectric constant. Similarly, the terms high-k, and low-k, are used in this field to refer to high dielectric constant and low dielectric constant respectively. High and low are relative to the dielectric constant of SiO[0029] 2.
  • The term intralayer dielectric as used in this field is understood to refer to the dielectric material disposed between interconnect lines on a given interconnect level. That is, an intralayer dielectric is found between adjacent interconnect lines, rather than vertically above or below those interconnect lines. [0030]
  • The term vertical, as used herein, means substantially perpendicular to the surface of a substrate. [0031]
  • Highly porous, low-k ILD (inter-layer dielectric) materials having desirable electrical characteristics are provided with mechanically reinforcing structures to provide the additional strength for withstanding subsequently occurring, physically demanding process operations. Such process operations include, but are not limited to, those encountered in damascene metallization processes. [0032]
  • The parasitic capacitance seen by an interconnect line is a function of the distance to another conductor and the dielectric constant of the material therebetween. However, increasing the spacing between interconnect lines increases the physical size, and thus the cost, of an integrated circuit. Therefore, in order to manufacture integrated circuits with low parasitic capacitance between interconnect lines, it is desirable to electrically isolate the conductors from each other with an insulator having a low dielectric constant. [0033]
  • One way to reduce the adverse effects of parasitic capacitance (e.g., RC interconnect delay) is to, as mentioned above, use low-k materials as insulators in advanced microelectronics products (e.g., integrated circuits). To achieve low dielectric constants, a manufacturer can either use a material which inherently possesses a low dielectric constant, and/or the manufacturer can introduce porosity into the material. Unfortunately, by increasing the film void fraction, which may be referred to as porosity, the thermal-mechanical properties of the material may be degraded. [0034]
  • Process integration of a highly porous ILD film in a Cu damascene interconnect structure is a demanding challenge. For instance, the application of chemical mechanical polishing (CMP) to remove excess Cu in a damascene metallization process can induce mechanical failures which result in delamination or tearing of the underlying ILD films. Control of both the erosion and dishing of the Cu lines will strongly determine the amount of shear imposed to these weaker ILD materials. Similarly, packaging can subject interconnect layers to severe shear and normal forces. Workarounds have been devised to improve the robustness of the porous ILD layers with respect to the stresses introduced by the CMP of Cu. One example workaround is to introduce “dummy” metal features at the trench level to improve CMP uniformity (i.e., reduce over-polish by creating uniform clearing between dense and non-dense line features). Inclusion of so-called “dummification” features at the via layers are a more challenging task due to limitations of creating redundant vias as heat sinks to control metal self-heating. [0035]
  • In accordance with the present invention, highly porous materials can be integrated into a Cu damascene interconnect structure. In one embodiment of the present invention, a process flow includes the formation of ILD posts (alternatively referred to as pillars) through the via and metal layers which provide mechanical reinforcement of an ILD stack. These posts may also act as thermal conduits for improved heat removal. However, because the posts typically have a dielectric constant that is greater than the dielectric constant of the porous low-k dielectric, the tradeoff in capacitance effects depends upon the placement of the posts and their material composition. [0036]
  • Creation of mesoporous (nanoporous) low-k materials can be achieved by several known methods. For example, such porous films can be engineered as aerogels/xerogels (by sol-gel, templating processes, CVD, etc.) with either open or closed pore structures. In such films the pore radius and void fraction can be modulated by the choice of precursors and conditioning techniques. Because the mechanical strength of a film tends to decrease as porosity is increased, processes such as e-beam, or UV flood exposure, have been developed to increase the mechanical strength (such as hardness/modulus, or fracture toughness) of the film. However, these processes can degrade the film dielectric constant (i.e., increase the dielectric constant) by increasing the film density or the extent of cross-linking in the low-k material. Additionally, films that are highly porous may not even be susceptible to sufficient film strengthening by these processes. [0037]
  • FIGS. [0038] 1-3 illustrate problematic approaches to providing a low-k insulating material between interconnect lines formed in a damascene process. FIG. 1 shows a carbon doped oxide (CDO) of silicon which, while possessing a dielectric constant less than that of silicon dioxide, does not provide the same level of improvement as do various polymer dielectrics and highly porous dielectric materials. With respect to FIGS. 2 and 3, the bulk of the dielectric layer is comprised of a spin-on polymer, or other type of low-k dielectric such as a highly porous material, and the remainder of the dielectric layer is comprised of one more relatively thin layers of alternative dielectric material. In each of the structures shown in FIGS. 2 and 3, there is limited resistance to lateral shearing forces, such as may be encountered during various integrated circuit manufacturing processes.
  • In an illustrative embodiment of the present invention, silicon dioxide posts, are used to provide mechanical reinforcement of the comparatively weak, highly porous dielectric material which makes up the bulk of the ILD. More particularly, these oxide posts provide the mechanical strength necessary to stand up to the stresses created by chemical mechanical polishing. It should be noted that the composition of the posts is not limited to silicon dioxide, although oxides of silicon are typical, including those oxides that are doped with fluorine, carbon, or both. [0039]
  • Referring to FIG. 4, a cross-section of a partially processed wafer is shown including a first [0040] dielectric layer 102 and a second dielectric layer 402. FIG. 4, does not show the underlying portion of the substrate in which various circuit elements such as transistors are formed. Those skilled in the art and having the benefit of this disclosure will appreciate that the formation of such circuit elements is well known and their formation and structure will not be described further. First dielectric layer 102 is typically formed over an underlying ILD layer, and be made from materials such as, but not limited to, silicon carbide, silicon nitride, or carbon doped oxides of silicon. First dielectric layer 102 may be formed over an ILD layer in which metal filled trenches and vias have previously been formed. Second dielectric layer 402 is formed of a material that has greater mechanical strength than that possessed by highly porous low-k dielectric materials. In one embodiment, second dielectric layer 402 is made of silicon dioxide.
  • Referring to FIG. 5, the structure of FIG. 4 is shown after second [0041] dielectric layer 402 has been patterned to form ILD posts 502. These posts may alternatively be referred to as pillars. Although shown as posts in the illustrated embodiment, various other structures may be patterned, including but not limited to structures such as walls or crosses, as shown in top view in FIG. 6. Methods for patterning silicon dioxide are well known in this field and may include the conventional operations of forming a layer of photoresist over second dielectric layer 402, exposing and developing the photoresist, and etching the exposed portions of second dielectric layer 402 to form posts 502. As shown in FIG. 5, the posts have vertical sidewalls indicating an anisotropic etch. However, the invention is not limited to formation of posts 502, or other reinforcing structures, by an anisotropic etch. Mechanical reinforcement structures may also be formed by isotropic etch, or a combination of isotropic and anisotropic etch operations. Those skilled in the art will recognize that isotropic etch chemistries will produce reinforcing structures having tapered, i.e., sloping sidewalls. The locations of posts 502 are selected based, at least in part, on where the interconnect lines and vias are to be formed. That is, the locations of posts 502 are selected so as to not interfere with the formation of interconnect lines and vias. This selection process is useful regardless of whether a damascene metal process or a subtractive metal process is used.
  • Referring to FIG. 6, several alternative reinforcing structures in accordance with the present invention are shown. A top view of [0042] ILD posts 502 are shown as top surfaces 502 a. A top surface 602 corresponds to a wall, or fence-like, structure. Top surface 604 corresponds to a reinforcing structure in the shape of a complex polygon. Top surface 606 corresponds to an ILD post that is cylindrical. Top surface 608 corresponds to yet another alternative embodiment of a reinforcing structure. It will be apparent to those skilled in the art and having the benefit of this disclosure that the reinforcing structures of the present invention are not limited to any particular shape.
  • Referring to FIG. 7, it can be seen that subsequent to the formation of [0043] posts 502, a layer of low-k dielectric film 604 is formed over and around posts 502. In the illustrated example, film 504 is a porous film such as a mesoporous SiO2, or a polymer, and has a dielectric constant in the range of 1.2 to 2.8. Formation of low-k film 504 may be achieved by depositing material either by chemical vapor deposition (CVD) or by spin-on techniques.
  • FIG. 8 shows the structure of FIG. 7, after chemical mechanical polishing has been performed to produce [0044] dielectric layer 506 by planarizing the surface of dielectric layer 504. Typically, the post-polish height of layer 506 is substantially equal to the height of posts 502. In other words, the post-polish top surface of layer 506 is in the plane of, or within manufacturing tolerances of the plane of the top surface of ILD posts 502. It will be understood that because of the different physical properties of the materials which comprise the posts and the materials which comprise the surrounding dielectric layer, the vertical distances between the top surfaces of the posts and the top surfaces of the dielectric layer may, if so desired, be adjusted to some extent by the polishing process.
  • Optionally, [0045] dielectric layer 504 may be subjected to an aging or a curing process in order to increase its porosity. The increased porosity is desirable to reduce the parasitic capacitance between electrical nodes in the integrated circuit which is being manufactured. Posts 502 provide the mechanical strength and stability to withstand the forces encountered during processing steps such as CMP.
  • FIG. 9 shows the structure of FIG. 8, after trench opening [0046] 106 a, and via opening 106 b have been etched into dielectric layer 506. Trench opening 106 a and via opening 106 b are consistent with the damascene method of forming metal interconnections. The patterning of these damascene trench and via openings is well-documented in the literature of this field and will not be described in greater detail herein.
  • FIG. 10 shows the structure of FIG. 9, after a [0047] copper diffusion barrier 508 is formed upon the surfaces of trench opening 106 a and via opening 106 b. Various copper diffusion barriers, some electrically conductive and others electrically non-conductive are known. In the illustrated embodiment, copper diffusion barrier 508 is formed of TiNSi. It should be noted that other materials, including but not limited to, TiN, TaN and Ta may be used. A copper seed layer is formed over barrier 508 and copper 510 is deposited (i.e., plated) so as to fill via opening 106 b and trench opening 106 a. Excess copper is removed by chemical mechanical polishing and an etch stop/barrier layer 512 is formed over posts 502, porous low-k dielectric 506, diffusion barrier 508, and copper 510. Etch stop/barrier layer 512 may be formed of a material such as, but not limited to, silicon carbide, silicon nitride, and carbon doped oxides of silicon.
  • FIGS. [0048] 11-12 are flow diagrams showing processes in accordance with the present invention. FIG. 11 illustrates a method of forming a dielectric layer having mechanically reinforcing structures embedded therein. FIG. 12 illustrates a method of forming interconnects on an integrated circuit, including forming a dielectric layer having reinforcing structures therein.
  • FIG. 11 illustrates a method in which at least one vertically-oriented non-conductive reinforcing structure is formed on a substrate ([0049] 702). As indicated above, silicon dioxide posts may be patterned by conventional photolithographic methods. A dielectric layer, typically a mechanically weak material, such as, but not limited to, a highly porous material, is disposed between the reinforcing structures (704). The posts, or reinforcing structures having other shapes, may be formed from materials other than silicon dioxide, as long as they provide the mechanical reinforcement needed to give strength to the subsequently formed dielectric layer.
  • FIG. 12 illustrates a process including forming a first dielectric layer over metal conductors and an intralayer dielectric ([0050] 802). This is typically accomplished by forming a copper diffusion barrier over of Cu damascene interconnect level. A second dielectric layer is then formed over the first dielectric layer (804). This second dielectric layer is the one from which mechanically, or structurally, reinforcing members are constructed. The construction of mechanically reinforcing members, or structures, is accomplished in this illustrative embodiment by patterning the second dielectric layer (806). A third dielectric layer is then formed over and adjacent the patterned second dielectric layer (808). This third dielectric layer is typically of a material that provides a lower dielectric constant than that of the second dielectric material. However, the third dielectric layer is also mechanically weaker than the material of the second dielectric. In this illustrative embodiment of the present invention, the third dielectric layer is then planarized such that its top surface is substantially even with the top surface of the patterned second dielectric layer (810). Inlaid metal interconnections are then formed in the third dielectric layer in accordance with known damascene metallization techniques (812).
  • Conclusion [0051]
  • In general, embodiments of the present invention provide low dielectric constant insulators on integrated circuits. Methods, in accordance with one aspect of the present invention, permit integration of highly porous ILD materials into a Cu damascene interconnect manufacturing flow by providing ILD posts through the via and metal layers which in turn provide mechanical reinforcement of the ILD stack. [0052]
  • An advantage of some embodiments of the present invention is that low dielectric constants insulators having the necessary mechanical strength to withstand subsequent processing, such as for example, chemical mechanical polishing are obtained. [0053]
  • A further advantage of some embodiments of the present invention is that the reinforcing structures provide a greater degree of thermal conduction than is possible with the highly porous ILD alone. Because of this, the reinforcing structures may also benefit an integrated circuit in terms of conducting heat away from the active circuit elements such as transistors. [0054]
  • The present invention may be implemented with various changes and substitutions to the illustrated embodiments. For example, the present invention may be implemented on substrates comprised of materials other than silicon, such as, for example, gallium arsenide or sapphire. Similarly, the present invention may be implemented with various alloys of copper forming the metal interconnect lines. [0055]
  • It will be readily understood by those skilled in the art that various other changes in the details, materials, and arrangements of the parts and operations which have been described and illustrated in order to explain the nature of this invention may be made without departing from the principles and scope of the invention as expressed in the subjoined Claims. [0056]

Claims (25)

What is claimed is:
1. A process, comprising:
forming a first dielectric layer on a substrate;
patterning the first dielectric layer such that a plurality of vertically oriented posts are formed, the post having a top surface;
forming a second dielectric layer over and adjacent to the posts, the second dielectric layer having a top surface; and
polishing the second dielectric layer such that its top surface is substantially even with the top surfaces of the posts.
2. The process of claim 1, wherein the substrate comprises a dielectric material.
3. The process of claim 1, wherein the substrate is a material selected from the group consisting of silicon carbide, silicon nitride, and carbon doped oxides of silicon.
4. The process of claim 1, further comprising curing the second dielectric layer.
5. The process of claim 1, further comprising aging the second dielectric layer.
6. The process of claim 1, further comprising forming dual damascene openings in the second dielectric layer.
7. The process of claim 1, wherein forming the first dielectric layer comprises depositing an oxide of silicon.
8. The process of claim 7, wherein forming the second dielectric layer comprises chemical vapor deposition of a low-k material.
9. The process of claim 7, wherein forming the second dielectric layer comprises spinning on a low-k material.
10. The process of claim 1, wherein the second dielectric has a lower dielectric constant than the first dielectric.
11. A dielectric structure, comprising:
a plurality of posts disposed on a substrate, the posts comprising an electrically non-conductive material; and
a low-k dielectric material disposed around the posts.
12. The dielectric structure of claim 11, wherein the substrate comprises a material selected from the group consisting of silicon carbide, silicon nitride, and carbon doped oxides of silicon.
13. The dielectric structure of claim 11, wherein the posts are vertically oriented and comprised of an oxide of silicon.
14. The dielectric structure of claim 13, wherein the oxide of silicon is a fluorine doped oxide.
15. The dielectric structure of claim 13, wherein the posts have a rectangular base.
16. An integrated circuit, comprising:
a substrate having interconnected electrical elements therein;
a first dielectric layer disposed over the substrate;
at least one electrically non-conductive, vertically oriented post disposed on the first dielectric layer; and
a second dielectric layer disposed on the first dielectric layer such that the second dielectric surrounds the at least one post.
17. The integrated circuit of claim 16, wherein the second dielectric layers has trenches therein.
18. The integrated circuit of claim 17, further comprising metal disposed in the trenches.
19. The integrated circuit of claim 18, wherein the metal comprises copper.
20. An integrated circuit, comprising:
a substrate having interconnected electrical elements therein;
a first dielectric layer disposed over the substrate;
a plurality of electrically insulating structures disposed on the first dielectric layer; and
a second dielectric layer disposed on the first dielectric layer such that the second dielectric surrounds the plurality of structures.
21. The integrated circuit of claim 20,wherein the structures are identical.
22. The integrated circuit of claim 20, further comprising metal filled damascene trenches in the second dielectric layer.
23. The integrated circuit of claim 20, wherein the structures are comprised of an oxide of silicon, and the second dielectric layer is comprised of a porous material having a dielectric constant lower than that of silicon dioxide.
24. A process, comprising:
depositing a silicon nitride layer on a wafer;
depositing an insulating layer over the silicon nitride layer;
patterning the insulating layer such that a plurality of structures are formed, the structures each having a top surface;
depositing a porous dielectric material over and adjacent to the structures, the porous dielectric material having a void fraction; and
polishing the porous dielectric material such that a top surface thereof is substantially even with the top surfaces of the structures;
treating the porous dielectric material such that its void fraction is increased.
25. The integrated circuit of claim 24, wherein the porous dielectric material has a lower dielectric constant than that of the structures.
US10/025,260 2000-12-21 2001-12-17 Structural reinforcement of highly porous low k dielectric films by ILD posts Abandoned US20020079585A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US10/025,260 US20020079585A1 (en) 2000-12-21 2001-12-17 Structural reinforcement of highly porous low k dielectric films by ILD posts

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/745,705 US6984581B2 (en) 2000-12-21 2000-12-21 Structural reinforcement of highly porous low k dielectric films by ILD posts
US10/025,260 US20020079585A1 (en) 2000-12-21 2001-12-17 Structural reinforcement of highly porous low k dielectric films by ILD posts

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US09/745,705 Division US6984581B2 (en) 2000-12-21 2000-12-21 Structural reinforcement of highly porous low k dielectric films by ILD posts

Publications (1)

Publication Number Publication Date
US20020079585A1 true US20020079585A1 (en) 2002-06-27

Family

ID=24997882

Family Applications (2)

Application Number Title Priority Date Filing Date
US09/745,705 Expired - Fee Related US6984581B2 (en) 2000-12-21 2000-12-21 Structural reinforcement of highly porous low k dielectric films by ILD posts
US10/025,260 Abandoned US20020079585A1 (en) 2000-12-21 2001-12-17 Structural reinforcement of highly porous low k dielectric films by ILD posts

Family Applications Before (1)

Application Number Title Priority Date Filing Date
US09/745,705 Expired - Fee Related US6984581B2 (en) 2000-12-21 2000-12-21 Structural reinforcement of highly porous low k dielectric films by ILD posts

Country Status (9)

Country Link
US (2) US6984581B2 (en)
EP (1) EP1405337B1 (en)
CN (1) CN1305118C (en)
AT (1) ATE405945T1 (en)
AU (1) AU2002217931A1 (en)
DE (1) DE60135503D1 (en)
HK (1) HK1067789A1 (en)
TW (1) TW529104B (en)
WO (1) WO2002052630A2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030183937A1 (en) * 2001-02-28 2003-10-02 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US20050070086A1 (en) * 2003-09-26 2005-03-31 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US20060214298A1 (en) * 2005-03-24 2006-09-28 Kun-Cheng Huang Dummy via for reducing proximity effect and method of using the same
KR100873801B1 (en) * 2002-07-19 2008-12-15 매그나칩 반도체 유한회사 Metal wiring formation method of semiconductor device using carbon nanotube
US20110241184A1 (en) * 2010-04-01 2011-10-06 Samsung Electronics Co., Ltd. Integrated circuit devices having selectively strengthened composite interlayer insulation layers and methods of fabricating the same
US20140138835A1 (en) * 2011-11-25 2014-05-22 Shanghai Ic R&D Center Co., Ltd. Copper interconnect structure and method for manufacturing the same
US20150371936A1 (en) * 2014-06-18 2015-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device Packages, Packaging Methods, and Packaged Semiconductor Devices
US20150371947A1 (en) * 2014-06-18 2015-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, Packaging Devices, and Methods of Packaging Semiconductor Devices

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734533B2 (en) * 2002-05-30 2004-05-11 Intel Corporation Electron-beam treated CDO films
US7678682B2 (en) * 2004-11-12 2010-03-16 Axcelis Technologies, Inc. Ultraviolet assisted pore sealing of porous low k dielectric films
US7422975B2 (en) * 2005-08-18 2008-09-09 Sony Corporation Composite inter-level dielectric structure for an integrated circuit
US7749896B2 (en) * 2005-08-23 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
WO2007093931A1 (en) * 2006-02-13 2007-08-23 Nxp B.V. Interconnect structure and method of manufacture
KR100835423B1 (en) * 2006-08-29 2008-06-04 동부일렉트로닉스 주식회사 Method for forming dual damascene pattern in semiconductor manufacturing process
KR100900231B1 (en) * 2007-06-21 2009-06-02 주식회사 하이닉스반도체 Method of manufacturing semiconductor device
KR20100101461A (en) * 2009-03-09 2010-09-17 삼성전자주식회사 A semiconductor device having lengthened boundaries of insulating layers
TWI551708B (en) * 2011-07-22 2016-10-01 應用材料股份有限公司 Method of atomic layer deposition using metal precursors
US8828489B2 (en) 2011-08-19 2014-09-09 International Business Machines Corporation Homogeneous modification of porous films
US9310552B2 (en) 2012-06-15 2016-04-12 Micron Technology, Inc. Methods and apparatus providing thermal isolation of photonic devices
CN108955546B (en) * 2018-05-17 2019-08-23 哈尔滨工业大学 Triangle micro-displacement measuring device and method are interfered in laser phase shift
US10559625B1 (en) 2018-08-08 2020-02-11 International Business Machines Corporation RRAM cells in crossbar array architecture

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6610592B1 (en) * 2000-04-24 2003-08-26 Taiwan Semiconductor Manufacturing Company Method for integrating low-K materials in semiconductor fabrication

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6109775A (en) 1991-07-19 2000-08-29 Lsi Logic Corporation Method for adjusting the density of lines and contact openings across a substrate region for improving the chemical-mechanical polishing of a thin-film later disposed thereon
JP2820187B2 (en) 1992-04-16 1998-11-05 三星電子 株式会社 Method for manufacturing semiconductor device
US5470802A (en) 1994-05-20 1995-11-28 Texas Instruments Incorporated Method of making a semiconductor device using a low dielectric constant material
DE69535718T2 (en) 1994-05-27 2009-03-19 Texas Instruments Inc., Dallas Connection method using a porous insulator to reduce the capacitance between tracks
US5504042A (en) * 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
KR0179838B1 (en) * 1995-09-02 1999-04-15 문정환 Structure of insulating film semiconductor device and method of planarizing insulating film
US5955140A (en) * 1995-11-16 1999-09-21 Texas Instruments Incorporated Low volatility solvent-based method for forming thin film nanoporous aerogels on semiconductor substrates
JP2910713B2 (en) 1996-12-25 1999-06-23 日本電気株式会社 Method for manufacturing semiconductor device
US6479374B1 (en) * 1998-04-01 2002-11-12 Asahi Kasei Kabushiki Kaisha Method of manufacturing interconnection structural body
US6171945B1 (en) 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6156660A (en) 1999-02-05 2000-12-05 Taiwan Semiconductor Manufacturing Company Method of planarization using dummy leads
US6297554B1 (en) * 2000-03-10 2001-10-02 United Microelectronics Corp. Dual damascene interconnect structure with reduced parasitic capacitance
US6429117B1 (en) 2000-07-19 2002-08-06 Chartered Semiconductor Manufacturing Ltd. Method to create copper traps by modifying treatment on the dielectrics surface
US6432811B1 (en) * 2000-12-20 2002-08-13 Intel Corporation Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6610592B1 (en) * 2000-04-24 2003-08-26 Taiwan Semiconductor Manufacturing Company Method for integrating low-K materials in semiconductor fabrication

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030183937A1 (en) * 2001-02-28 2003-10-02 International Business Machines Corporation Low-k interconnect structure comprised of a multilayer of spin-on porous dielectrics
US6831366B2 (en) * 2001-02-28 2004-12-14 International Business Machines Corporation Interconnects containing first and second porous low-k dielectrics separated by a porous buried etch stop layer
KR100873801B1 (en) * 2002-07-19 2008-12-15 매그나칩 반도체 유한회사 Metal wiring formation method of semiconductor device using carbon nanotube
US8648472B2 (en) 2003-09-26 2014-02-11 Panasonic Corporation Semiconductor device
US7215028B2 (en) * 2003-09-26 2007-05-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US20070187832A1 (en) * 2003-09-26 2007-08-16 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US20050070086A1 (en) * 2003-09-26 2005-03-31 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US7400045B2 (en) 2003-09-26 2008-07-15 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
CN100431145C (en) * 2003-09-26 2008-11-05 松下电器产业株式会社 Semiconductor device and method for fabricating the same
US20110171824A1 (en) * 2003-09-26 2011-07-14 Panasonic Corporation Semiconductor device and method for fabricating the same
US20090017611A1 (en) * 2003-09-26 2009-01-15 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US8329572B2 (en) 2003-09-26 2012-12-11 Panasonic Corporation Semiconductor device and method for fabricating the same
US7935623B2 (en) 2003-09-26 2011-05-03 Panasonic Corporation Semiconductor device and method for fabricating the same
CN100373612C (en) * 2005-03-24 2008-03-05 台湾积体电路制造股份有限公司 Semiconductor device and method for forming assistant via
US7545045B2 (en) * 2005-03-24 2009-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy via for reducing proximity effect and method of using the same
US20060214298A1 (en) * 2005-03-24 2006-09-28 Kun-Cheng Huang Dummy via for reducing proximity effect and method of using the same
US20110241184A1 (en) * 2010-04-01 2011-10-06 Samsung Electronics Co., Ltd. Integrated circuit devices having selectively strengthened composite interlayer insulation layers and methods of fabricating the same
US8455985B2 (en) * 2010-04-01 2013-06-04 Samsung Electronics Co., Ltd. Integrated circuit devices having selectively strengthened composite interlayer insulation layers and methods of fabricating the same
US20140138835A1 (en) * 2011-11-25 2014-05-22 Shanghai Ic R&D Center Co., Ltd. Copper interconnect structure and method for manufacturing the same
US9269613B2 (en) * 2011-11-25 2016-02-23 Shanghai Ic R&D Center Co., Ltd Copper interconnect structure and method for manufacturing the same
US20150371936A1 (en) * 2014-06-18 2015-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device Packages, Packaging Methods, and Packaged Semiconductor Devices
US20150371947A1 (en) * 2014-06-18 2015-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, Packaging Devices, and Methods of Packaging Semiconductor Devices
US9831214B2 (en) * 2014-06-18 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device packages, packaging methods, and packaged semiconductor devices
US10177032B2 (en) * 2014-06-18 2019-01-08 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaging devices, and methods of packaging semiconductor devices

Also Published As

Publication number Publication date
ATE405945T1 (en) 2008-09-15
EP1405337B1 (en) 2008-08-20
EP1405337A2 (en) 2004-04-07
DE60135503D1 (en) 2008-10-02
WO2002052630A2 (en) 2002-07-04
US6984581B2 (en) 2006-01-10
AU2002217931A1 (en) 2002-07-08
TW529104B (en) 2003-04-21
WO2002052630A3 (en) 2004-01-08
US20020079583A1 (en) 2002-06-27
CN1528012A (en) 2004-09-08
CN1305118C (en) 2007-03-14
HK1067789A1 (en) 2005-04-15

Similar Documents

Publication Publication Date Title
US6432811B1 (en) Method of forming structural reinforcement of highly porous low k dielectric films by Cu diffusion barrier structures
US6984581B2 (en) Structural reinforcement of highly porous low k dielectric films by ILD posts
US6187672B1 (en) Interconnect with low dielectric constant insulators for semiconductor integrated circuit manufacturing
US7226853B2 (en) Method of forming a dual damascene structure utilizing a three layer hard mask structure
US9269612B2 (en) Mechanisms of forming damascene interconnect structures
TWI304228B (en) Method for forming semiconductor device having low-k dielectric layer
US6653229B2 (en) Integrated circuit with a recessed conductive layer
JP5558662B2 (en) Device, Method (MIM Capacitor and Method for Manufacturing the Same)
CN100378953C (en) Method of forming low resistance and reliable via in inter-level dielectric interconnect
US7834459B2 (en) Semiconductor device and semiconductor device manufacturing method
JP2004146800A (en) Manufacturing method and structure of interconnection structure
JP2004527909A (en) Damascene process using dielectric barrier film
US11244854B2 (en) Dual damascene fully aligned via in interconnects
US20020145201A1 (en) Method and apparatus for making air gap insulation for semiconductor devices
JP2010103329A (en) Method of manufacturing semiconductor device, and semiconductor device
JP2000091422A (en) Manufacture of multilayer wiring structure
US5880030A (en) Unlanded via structure and method for making same
US20020050651A1 (en) Semiconductor device and method for fabricating the same
WO2004010495A1 (en) Production method for semiconductor device
US7955971B2 (en) Hybrid metallic wire and methods of fabricating same
US6518171B1 (en) Dual damascene process using a low k interlayer for forming vias and trenches
US20060216924A1 (en) BEOL integration scheme for etching damage free ELK
US6750544B1 (en) Metallization system for use in a semiconductor component
KR100512051B1 (en) Method of forming a metal line in semiconductor device
KR20060075887A (en) Method for forming metal-line of semiconductor device

Legal Events

Date Code Title Description
STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION