CN117616564A - 包括桥接器的微电子组件 - Google Patents

包括桥接器的微电子组件 Download PDF

Info

Publication number
CN117616564A
CN117616564A CN202280045182.7A CN202280045182A CN117616564A CN 117616564 A CN117616564 A CN 117616564A CN 202280045182 A CN202280045182 A CN 202280045182A CN 117616564 A CN117616564 A CN 117616564A
Authority
CN
China
Prior art keywords
die
bridge
layer
microelectronic
component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202280045182.7A
Other languages
English (en)
Inventor
S·斯里尼瓦桑
S·加内桑
T·A·戈瑟兰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN117616564A publication Critical patent/CN117616564A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5381Crossover interconnections, e.g. bridge stepovers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Structures For Mounting Electric Components On Printed Circuit Boards (AREA)

Abstract

本文中公开了微电子组件、相关的装置和方法。在一些实施例中,一种微电子组件可以包括:微电子子组件,其包括:位于第一层中的第一桥接部件,第一桥接部件具有第一表面和相对的第二表面;以及位于第二层中的管芯,其中,第二层位于第一层上,并且该管芯电耦合到第一桥接部件的第二表面;封装基板,其具有嵌入其中的第二桥接部件,其中,第二桥接部件电耦合到第一桥接部件的第一表面;以及微电子部件,其位于封装基板的第二表面上并且电耦合到第二桥接部件,其中,微电子部件经由第一和第二桥接部件电耦合到管芯。

Description

包括桥接器的微电子组件
相关申请的交叉引用
本申请要求享有于2021年9月23日提交且名称为“MICROELECTRONIC ASSEMBLIESINCLUDING BRIDGES(包括桥接器的微电子组件)”的第17/482,681号美国非临时申请的权益,并且特此出于所有目的通过引用将其全部内容并入本文。
背景技术
集成电路(IC)封装可以包括用于耦合两个或更多个IC管芯的嵌入式多管芯互连桥(EMIB)。
附图说明
根据结合附图的以下具体实施方式,将容易理解实施例。为了便于描述,相似的附图标记指代相似的结构元件。在附图的各图中,通过示例而非限制的方式示出了实施例。
图1A是根据各个实施例的示例性微电子组件的侧视截面图。
图1B是根据各个实施例的示例性微电子组件的侧视截面图。
图2是根据各个实施例的示例性微电子组件的侧视截面图。
图3是根据各个实施例的微电子组件中的多个管芯和桥接部件的示例性布置的俯视图。
图4A-图4I是根据各个实施例的用于制造图1B中的微电子组件的示例性工艺中的各个阶段的侧视截面图。
图5是根据各个实施例的制作示例性微电子组件的示例性方法的流程图。
图6是根据本文中所公开的任何实施例的可以被包括在微电子组件中的晶圆和管芯的俯视图。
图7是根据本文中所公开的任何实施例的可以被包括在微电子组件中的IC装置的截面侧视图。
图8是根据本文中所公开的任何实施例的可以包括微电子组件的IC装置组件的截面侧视图。
图9是根据本文中所公开的任何实施例的可以包括微电子组件的示例性电装置的框图。
具体实施方式
本文中公开了微电子组件、相关的装置和方法。例如,在一些实施例中,一种微电子组件可以包括:微电子子组件,该微电子子组件具有:位于第一层中的第一管芯,其中,第一管芯包括第一表面和相对的第二表面;位于第一层中的第一桥接部件,其中,第一桥接部件包括第一表面和相对的第二表面;以及位于第二层中的第二管芯,其中,第二层位于第一层上,并且其中,第二管芯的表面电耦合到第一管芯和第一桥接部件的第二表面;封装基板,该封装基板具有第一表面和相对的第二表面;第二桥接部件,该第二桥接部件在第一表面与第二表面之间嵌入封装基板中,其中,第二桥接部件电耦合到第一桥接部件的第一表面;以及微电子部件,该微电子部件位于封装基板的第二表面上并且电耦合到第二桥接部件,其中,微电子部件经由第一桥接部件和第二桥接部件电耦合到第二管芯。
对于IC装置的小型化的驱动已经产生了一种类似的驱动,以在位于封装组件中的管芯之间提供密集的互连以及在IC封装之间提供互连,从而实现更大的管芯复合体并且允许解聚。为了在多管芯IC封装中实现高互连密度,一些常规方法需要昂贵的制造操作,例如在面板级上完成的在位于嵌入式桥之上的基板层中的精细间距过孔形成以及第一级互连镀覆。本文中所公开的微电子结构和组件可以使用晶圆级加工来实现与常规方法一样高的或者更高的互连密度,而无需常规昂贵的面板级制造操作的费用。此外,本文中所公开的微电子结构和组件为电子设计者和制造商提供了新的灵活性,从而允许他们选择实现他们的装置目标的架构,而无需额外的成本或制造复杂度。本文中公开的微电子组件对于计算机、平板电脑、工业机器人和消费类电子产品(例如,可穿戴装置)中的小且不显眼的应用以及对于服务器产品和架构中的更大规模的应用可能是有利的。
在以下具体实施方式中,参考了附图,附图形成具体实施方式的一部分,其中相似的附图标记始终指代相似的部分,并且在附图中通过说明的方式示出了可以实践的实施例。应当理解的是,可以利用其他实施例,并且可以做出结构改变或逻辑改变,而不脱离本公开的范围。因此,不应当从限制的意义上理解以下具体实施方式。
可以以最有助于理解要求保护的主题的方式依次将各个操作描述为多个分立的动作或操作。然而,描述的顺序不应被解释为暗示这些操作必须是顺序相关的。特别地,可以不以所呈现的顺序执行这些操作。所描述的操作可以以与所描述的实施例不同的顺序来执行。在额外的实施例中,可以执行各种额外的操作和/或可以省略所描述的操作。
出于本公开的目的,短语“A和/或B”表示(A)、(B)或(A和B)。出于本公开的目的,短语“A、B和/或C”表示(A)、(B)、(C)、(A和B)、(A和C)、(B和C)或者(A、B和C)。附图不一定是按比例的。尽管许多附图示出了具有平坦壁和直角拐角的直线构成的结构,但是这仅是为了便于说明,并且使用这些技术制成的实际装置将呈现圆角、表面粗糙度和其他特征。
描述使用短语“在实施例中”或“在多个实施例中”,其均可以指代相同或不同实施例中的一个或多个实施例。此外,如关于本公开的实施例使用的术语“包括”、“包含”、“具有”等是同义的。如本文中所使用的,“封装”和“IC封装”是同义的,“管芯”和“IC管芯”也是如此。术语“顶部”和“底部”可以在本文中用于解释附图的各个特征,但是这些术语只是为了便于讨论,并不暗示所期望或要求的取向。如本文中所使用的,除非另外说明,术语“绝缘”表示“电绝缘”。在整个说明书和权利要求书中,术语“耦合”表示直接或间接连接,例如在被连接物之间的直接电、机械或磁连接,或者通过一个或多个无源或有源中间装置的间接连接。“一”和“所述”的含义包括复数引用。“在……中”的含义包括“在……中”和“在……上”。除非另外说明,否则使用序数形容词“第一”、“第二”和“第三”等来描述共同对象仅指示正被引用的类似对象的不同实例,并且不旨在暗示如此描述的对象必须在时间上、空间上、在等级上或以任何其他方式处于给定序列。
当用于描述尺寸的范围时,短语“处于X与Y之间”表示包括X和Y的范围。为了方便起见,短语“图1”可以用于指图1A和图1B的附图的集合,并且短语“图4”可以用于指图4A-图4I的附图的集合,等等。虽然某些元件可以在本文中以单数引用,但是这样的元件可以包括多个子元件。例如,“绝缘材料”可以包括一种或多种绝缘材料。
图1A是根据各个实施例的示例性微电子组件的侧视截面图。微电子组件100可以包括具有管芯114和第一桥接部件110的多层管芯子组件104、具有第二桥接部件112的封装基板102、以及微电子部件120,其中,微电子部件120经由第一桥接部件110和第二桥接部件112电耦合到管芯114。如本文中所使用的,术语“多层管芯子组件”104可以指具有两个或更多个堆叠的电介质层的复合管芯,其中每一层中具有一个或多个管芯,并且导电互连和/或导电通路连接一个或多个管芯,包括非相邻的层中的管芯。如本文中所使用的,术语“多层管芯子组件”和“复合管芯”可以互换使用。多层管芯子组件104可以包括第一表面170-1和相对的第二表面170-2。如图1A所示,多层管芯子组件104可以包括:第一层104-1,第一层104-1具有管芯114-1、导电柱152和第一桥接部件110;以及第二层104-2,第二层104-2具有管芯114-2和管芯114-3。位于第一层104-1中的第一桥接部件110可以通过管芯到封装基板(DTPS)互连150耦合到位于封装基板102中的第二桥接部件112,并且可以通过互连130耦合到位于第二层104-2中的管芯114-2。微电子部件120可以通过DTPS互连150耦合到位于封装基板102中的第二桥接部件112。如图1A所示,DTPS互连150可以包括位于封装基板102的顶表面上的导电接触部146、焊料134、以及位于多层管芯子组件104的底表面上的导电接触部144或位于微电子部件120的底表面上的导电接触部145。位于第一层104-1中的管芯114-1可以通过DTPS互连150耦合到封装基板102,并且可以通过互连130耦合到位于第二层104-2中的管芯114-2、114-3。位于第二层104-2中的管芯114-2、114-3可以经由互连130、导电柱152和DTPS互连150耦合到封装基板102,以形成多级(ML)互连。ML互连可以是功率输送互连或高速信号互连。如本文中所使用的,术语“ML互连”可以指在第一部件与第二部件之间包括导电柱的互连(其中,第一部件和第二部件不位于相邻的层中),或者可以指跨越一个或多个层的互连(例如,位于在第一层中的第一管芯与在第三层中的第二管芯之间的互连、或位于封装基板与在第二层中的管芯之间的互连)。
具体地,第一桥接部件110可以包括具有第一导电接触部123的底表面(例如,朝向第一表面170-1的表面)、以及具有第二导电接触部125的相对的顶表面(例如,朝向第二表面170-2的表面)。第一导电接触部123可以用于经由DTPS互连150将第一桥接部件110耦合到位于封装基板102中的第二桥接部件112,并且第二导电接触部125可以用于经由互连130将第一桥接部件110耦合到管芯114-2。管芯114-1可以包括具有第一导电接触部122的底表面(例如,朝向第一表面170-1的表面)、以及具有第二导电接触部124的相对的顶表面(例如,朝向第二表面170-2的表面)。管芯114-2、114-3可以包括位于管芯的底表面(例如,朝向第一表面170-1的表面)上的导电接触部122。管芯114可以包括耦合到位于管芯114的表面上的相应的导电接触部(例如,导电接触部122、124)的其他导电通路(例如,包括线和过孔)和/或其他电路系统(未示出)。
如本文中所使用的,“导电接触部”可以指导电材料(例如,金属)的充当不同部件之间的电接口(例如,互连的部分)的一部分;导电接触部可以凹陷进入部件的表面,与部件的表面的齐平(例如,如针对管芯114-2、114-3所示的),或延伸远离部件的表面(例如,如针对管芯114-1所示的),并且可以采取任何适当的形式(例如,导电焊盘或插座,或者导电线或过孔的部分)。例如,本文中所公开的导电接触部中的任何导电接触部(例如,如图1B所示,导电接触部122、124、144、145和/或146以及172和/或174)可以包括接合焊盘、焊料凸块、导电柱或任何其他适当的导电接触部。在一般意义上,“互连”是指提供两个其他元件之间的物理连接的任何元件。例如,电互连提供两个电部件之间的电连接性,从而促进它们之间的电信号的传送;光互连提供两个光部件之间的光连接性,从而促进它们之间的光信号的传送。如本文中所使用的,电互连和光互连两者被包括在术语“互连”中。在本文中,应当参考与互连相关联的信号介质来理解所描述的互连的性质。因此,当参考电子装置(例如使用电信号操作的IC)使用时,术语“互连”描述由导电材料形成的用于提供到与IC相关联的一个或多个元件的电连接性和/或处于各种这样的元件之间的电连接性的任何元件。在这样的情况下,术语“互连”可以指导电迹线(有时也被称为“金属迹线”、“线”、“金属线”、“导线”、“金属导线”、“沟槽”或“金属沟槽”)和导电过孔(有时也被称为“过孔”或“金属过孔”)两者。有时,电传导迹线和电传导过孔可以分别被称为“导电迹线”和“导电过孔”,从而突出强调这些元件包括导电材料(例如金属)的事实。类似地,当用于指也对光信号进行操作的装置(例如光子IC(PIC))时,“互连”还可以描述由光传导的材料形成的用于提供到与PIC相关联的一个或多个元件的光连接性的任何元件。在这样的情况下,术语“互连”可以指光波导(例如,引导和限制光波的结构),包括光纤、分光器、光组合器、光耦合器和光过孔。
第一桥接部件110可以包括位于导电接触部123、125之间(和/或到被包括在桥接部件110中的其他电路系统,如下文所讨论的)的导电通路(例如,包括线和过孔,如下文参考图7所讨论的)。在一些实施例中,第一桥接部件110可以包括半导体材料(例如,硅);例如,第一桥接部件110可以是如下文参考图6所讨论的管芯1502,并且可以包括如下文参考图7所讨论的IC装置1600。在一些实施例中,第一桥接部件110可以是“有源”部件,因为它可以含有一个或多个有源装置(例如,晶体管),而在其他实施例中,第一桥接部件110可以是“无源”部件,因为它不含有一个或多个有源装置。在一些实施例中,第一桥接部件110可以被制造成具有与封装基板102相同密度的互连,并且在这样的实施例中,第一导电接触部123的第一间距128可以等于第二导电接触部的第二间距126。例如,第一间距128和第二间距126可以介于40微米与130微米之间。在一些实施例中,第一桥接部件110可以被制造成允许比封装基板102更高密度的互连,并且在这样的实施例中,如图1B所示,第一导电接触部123的第一间距128可以大于第二导电接触部的第二间距129。例如,第一间距128可以介于40微米与130微米之间,并且第二间距129可以介于10微米与50微米之间。
例如,导电柱152可以由任何适当的导电材料形成,例如铜、银、镍、金、铝、或者其他金属或合金。可以使用任何适当的工艺来形成导电柱152,例如包括光刻工艺或加成工艺,例如冷喷涂或三维光刻。在一些实施例中,本文中公开的导电柱152可以具有介于75微米与200微米之间的间距。如本文中所使用的,以中心到中心(例如,从导电柱的中心到相邻的导电柱的中心)来测量间距。导电柱152可以具有任何适当的尺寸和形状。在一些实施例中,导电柱152可以具有圆形、矩形或其他形状的截面。
本文中公开的管芯114可以包括绝缘材料(例如,如本领域中已知的,形成在多个层中的电介质材料)以及穿过绝缘材料形成的多个导电通路。在一些实施例中,管芯114的绝缘材料可以包括电介质材料,例如二氧化硅、氮化硅、氮氧化物、聚酰亚胺材料、玻璃增强环氧树脂基质材料、或低k或超低k电介质(例如,掺杂碳的电介质、掺杂氟的电介质、多孔电介质、有机聚合物电介质、光可成像电介质、和/或苯并环丁烯基聚合物)。在一些实施例中,管芯114的绝缘材料可以包括半导体材料,例如,硅、锗、或III-V族材料(例如,氮化镓)、以及一种或多种额外的材料。例如,绝缘材料可以包括氧化硅或氮化硅。位于管芯114中的导电通路可以包括导电迹线和/或导电过孔,并且可以以任何适当的方式连接位于管芯114中的导电接触部中的任何导电接触部(例如,连接位于管芯114的同一表面或不同表面上的多个导电接触部)。下文参考图7讨论可以被包括在本文中所公开的管芯114中的示例性结构。位于管芯114中的导电通路可以以衬层材料为边界,衬层材料例如粘合衬层和/或阻挡衬层(视情况而定)。在一些实施例中,管芯114是晶圆。在一些实施例中,管芯114是单片硅、扇出或扇入封装管芯、或管芯堆叠体(例如,堆叠的晶圆、堆叠的管芯或堆叠的多层管芯)。
在一些实施例中,管芯114可以包括导电通路,以将功率、接地和/或信号布线到被包括在微电子组件100中的其他管芯114/对来自被包括在微电子组件100中的其他管芯114的功率、接地和/或信号进行布线。例如,管芯114-1可以包括TSV(未示出),包括导电材料过孔,例如金属过孔,其通过阻挡氧化物与周围的硅或其他半导体材料隔离;或者其他导电通路,功率、接地和/或信号可以通过其他导电通路在封装基板102与位于管芯114-1的“顶部上”的一个或多个管芯114(例如,在图1中的实施例中,管芯114-2和/或114-3)之间传输。在一些实施例中,管芯114-1可以不将功率和/或接地布线到管芯114-2和114-3;替代地,管芯114-2、114-3可以通过ML互连(例如,经由导电柱152)直接耦合到位于封装基板102中的功率和/或接地线。在一些实施例中,位于第一层104-1中的管芯114-1在本文中也被称为“基底管芯”、“内插器管芯”或“桥接管芯”,可以比位于第二层104-2中的管芯114-2、114-3更厚。在一些实施例中,管芯114可以跨越多层管芯子组件104的多个层。在一些实施例中,管芯114-1可以是存储器装置(例如,如下文参考图6中的管芯1502所描述的)、高频串行器和解串器(SerDes),例如高速外围部件互连(PCI)。在一些实施例中,管芯114-1可以是处理管芯、射频芯片、功率转换器、网络处理器、工作负载加速器、或安全加密器。在一些实施例中,管芯114-2和/或管芯114-3可以是处理管芯。
多层管芯子组件104可以包括绝缘材料133(例如,如本领域中所已知的,形成在多个层中的电介质材料),以形成多个层并且将一个或多个管芯嵌入在层中。具体地,第一桥接部件110、管芯114-1和导电柱152可以嵌入第一层104-1中的绝缘材料133中,并且第二管芯114-2和第三管芯114-3可以嵌入第二层104-2中的绝缘材料133中。在一些实施例中,多层管芯子组件104的绝缘材料133可以是电介质材料,例如有机电介质材料、阻燃等级4材料(FR-4)、双马来酰亚胺三嗪(BT)树脂、聚酰亚胺材料、玻璃增强环氧树脂基质材料、或低k和超低k电介质(例如,碳掺杂的电介质、氟掺杂的电介质、多孔电介质和有机聚合物电介质)。在一些实施例中,管芯114可以嵌入不均匀的电介质(例如堆叠的电介质层(例如,不同无机电介质的交替层))中。在一些实施例中,多层管芯子组件104的绝缘材料133可以是模制材料,例如具有无机二氧化硅颗粒的有机聚合物。多层管芯子组件104可以包括穿过电介质材料的一个或多个ML互连(例如,如图所示,包括导电过孔和/或导电柱)。多层管芯子组件104可以具有任何适当的尺寸。例如,在一些实施例中,多层管芯子组件104的厚度可以介于100μm与2000μm之间。在一些实施例中,多层管芯子组件104可以包括复合管芯,例如堆叠的管芯。多层管芯子组件104可以具有任何适当数量的层、任何适当数量的管芯、以及任何适当的管芯布置。例如,在一些实施例中,多层管芯子组件104可以具有介于3与20层的管芯。在一些实施例中,多层管芯子组件104可以包括具有介于2与50个管芯的层。
第二桥接部件112可以嵌入封装基板102中,并且可以包括位于封装基板102的表面上的导电接触部146之间的导电通路(例如,如下文参考图7所讨论的,包括线和过孔)。第二桥接部件112可以被嵌入使得第二桥接部件112的导电接触部与封装基板102的表面齐平或共面,并且充当封装基板102上的导电接触部146。在一些实施例中,第二桥接部件112可以嵌入封装基板102中,使得位于封装基板102中的导电通路(未示出)将位于第二桥接部件112的表面上的导电接触部耦合到位于封装基板102上的导电接触部146。在一些实施例中,第二桥接部件112可以包括半导体材料(例如,硅);例如,如下文参考图6所讨论的,第二桥接部件112可以是管芯1502。在一些实施例中,第二桥接部件112可以是“无源”部件,因为其不含有一个或多个有源装置。在一些实施例中,第二桥接部件112可以被制造为具有与封装基板102相同密度的互连,并且在这样的实施例中,第二桥接部件112的间距可以等于封装基板102的间距128。
封装基板102可以包括绝缘材料(例如,如本领域所已知的,形成在多个层中的电介质材料)以及一个或多个导电通路,该导电通路用于通过电介质材料(例如,如图所示,包括导电迹线和/或导电过孔)对功率、接地和信号进行布线。可以使用任何适当的技术来嵌入第二桥接部件112,所述技术包括,例如,通过在封装基板102中形成腔体或通过在第二桥接部件112之前将封装基板形成到层,附接第二桥接部件112,并且在第二桥接部件112周围形成下一封装基板层。在一些实施例中,封装基板102的绝缘材料可以是电介质材料,例如有机电介质材料、阻燃等级4材料(FR-4)、BT树脂、聚酰亚胺材料、玻璃增强环氧树脂基质材料、具有无机填料的有机电介质或者低k和超低k电介质(例如,碳掺杂的电介质、氟掺杂的电介质、多孔电介质和有机聚合物电介质)。具体地,当使用标准印刷电路板(PCB)工艺形成封装基板102时,封装基板102可以包括FR-4,并且封装基板102中的导电通路可以由通过FR-4的堆积层分隔开的图案化的铜片形成。封装基板102中的导电通路可以以衬层材料为边界,衬层材料例如粘合衬层和/或阻挡衬层(视情况而定)。在一些实施例中,可以使用光刻定义的过孔封装工艺来形成封装基板102。在一些实施例中,可以使用标准的有机封装制造工艺来制造封装基板102,并且因此,封装基板102可以采取有机封装的形式。在一些实施例中,封装基板102可以是通过层合或旋涂在电介质材料上并且通过激光钻孔和镀覆产生导电过孔和导电线而形成在面板载体上的一组重分布层。在一些实施例中,封装基板102可以使用任何适当的技术(例如重分布层技术)形成在可去除的载体上。可以使用本领域中已知的用于制作封装基板102的任何方法,并且为了简洁起见,本文将不进一步详细地讨论这样的方法。
在一些实施例中,封装基板102可以是较低密度的介质,并且管芯114可以是较高密度的介质或具有带有较高密度的介质的区域。如本文中所使用的,术语“较低密度”和“较高密度”是相对性术语,指示较低密度的介质中的导电通路(例如,包括导电互连、导电线和导电过孔)比较高密度的介质中的导电通路更大和/或具有更大间距。在一些实施例中,可以使用具有先进的光刻(其中通过先进的激光或光刻工艺形成较小的竖直互连特征)的修改的半加成工艺或半加成堆积工艺来制造较高密度的介质,而较低密度的介质可以是使用标准PCB工艺(例如,使用蚀刻化学工艺来去除不需要的铜的区域的标准减成工艺,并且其中通过标准激光工艺形成粗糙的竖直互连特征)制造的PCB。在其他实施例中,可以使用半导体制作工艺(例如单镶嵌工艺或双镶嵌工艺)来制造较高密度的介质。在一些实施例中,额外的管芯可以设置在管芯114-2、114-3的顶表面上。在一些实施例中,额外的部件可以设置在管芯114-2、114-3的顶表面上。额外的无源部件(例如表面安装电阻器、电容器和/或电感器)可以设置在封装基板102的顶表面或底表面上,或者嵌入封装基板102中。
图1A中的微电子组件100还可以包括底部填充材料127。在一些实施例中,底部填充材料127可以在多层管芯子组件104与封装基板102之间、在相关联的DTPS互连150周围延伸。在一些实施例中,底部填充材料127可以在相关联的互连130周围延伸。底部填充材料127可以是绝缘材料,例如适当的环氧树脂材料。在一些实施例中,底部填充材料127可以包括毛细底部填充物、非导电膜(NCF)或模制的底部填充物。在一些实施例中,底部填充材料127可以包括环氧助焊剂,其在形成DTPS互连150时帮助将多层管芯子组件104焊接到封装基板102,并且然后使DTPS互连150聚合并包封DTPS互连150。可以选择底部填充材料127以具有可以使管芯114与封装基板102之间的由微电子组件100中的不均匀的热膨胀所引起的应力减轻或最小化的热膨胀系数(CTE)。在一些实施例中,底部填充材料127的CTE可以具有介于封装基板102的CTE(例如,封装基板102的电介质材料的CTE)与多层管芯子组件104的管芯114和/或绝缘材料133的CTE之间的值。
本文中公开的DTPS互连150可以采取任何适当的形式。在一些实施例中,一组DTPS互连150可以包括焊料(例如,经受热回流从而形成DTPS互连150的焊料凸块或焊料球)。在一些实施例中,一组DTPS互连150可以包括各向异性导电材料,例如各向异性导电膜或各向异性导电膏。各向异性导电材料可以包括散布在非导电材料中的导电材料。
本文中公开的互连130可以采取任何适当的形式。互连130可以具有比位于微电子组件中的DTPS互连150更精细的间距。在一些实施例中,位于一组互连130的任一侧的管芯114可以是未封装的管芯,和/或互连130可以包括通过焊料附接到导电接触部124的较小的导电凸块(例如,铜凸块)。互连130可能具有过于精细的间距而不能直接耦合到封装基板102(例如,过于精细而不能充当DTPS互连150)。在一些实施例中,一组互连130可以包括焊料。在一些实施例中,一组互连130可以包括各向异性导电材料,例如上文讨论的材料中的任何材料。在一些实施例中,互连130可以被用作数据传输通道,而DTPS互连150可以用于电源线和接地线以及除此之外的其他线。在一些实施例中,微电子组件100中的互连130中的一些或所有互连可以是金属到金属互连(例如,铜到铜互连,或者镀覆互连)。在这样的实施例中,位于互连130的任一侧的导电接触部122、124可以接合在一起(例如,在升高的压力和/或温度下),而不使用居间焊料或各向异性导电材料。例如,本文中所公开的导电接触部中的任何导电接触部(例如,导电接触部122、124、144和/或146)可以包括接合焊盘、焊料凸块、导电柱或任何其他适当的导电接触部。在一些实施例中,微电子组件100中的一些或所有互连130可以是焊料互连,该焊料互连包括具有比被包括在一些或所有DTPS互连150中的焊料更高的熔点的焊料。例如,当在形成DTPS互连150之前形成微电子组件100中的互连130时,基于焊料的互连130可以使用更高温度的焊料(例如,具有高于200摄氏度的熔点),而DTPS互连150可以使用更低温度的焊料(例如,具有低于200摄氏度的熔点)。在一些实施例中,更高温度的焊料可以包括锡;锡和金;或锡、银和铜(例如,96.5%的锡、3%的银和0.5%的铜)。在一些实施例中,更低温度的焊料可以包括锡和铋(例如,共晶锡铋)或锡、银和铋。在一些实施例中,更低温度的焊料可以包括铟、铟和锡或镓。
在本文中所公开的微电子组件100中,DTPS互连150中的一些或所有DTPS互连可以具有比互连130中的一些或所有互连更大的间距。由于位于一组互连130的任一侧的不同管芯114中的材料的相似性大于位于一组DTPS互连150的任一侧的管芯114与封装基板102之间的相似性,因此互连130可以具有比DTPS互连150更小的间距。具体地,由于在操作期间生成的热量(以及在各种制造操作期间施加的热量),管芯114和封装基板102的材料成分中的差异可能致使管芯114和封装基板102产生不同的膨胀和收缩。为了减轻由这一不同的膨胀和收缩导致的损坏(例如,开裂、焊料桥接等),DTPS互连150可以形成得比互连130更大且相距更远,由于位于互连的任一侧的管芯114对的更大的材料相似性,互连130可能经受更少的热应力。
图1A中的微电子组件100还可以包括电路板(未示出)。封装基板102可以通过位于封装基板102的底表面处的第二级互连耦合到电路板。第二级互连可以是任何适当的第二级互连,包括用于球栅阵列布置的焊料球、引脚栅阵列布置中的引脚或连接盘栅阵列布置中的连接盘。例如,电路板可以是母板,并且可以具有附接到其的其他部件。如本领域中已知的,电路板可以包括用于通过电路板对功率、接地和信号进行布线的导电通路和其他导电接触部。在一些实施例中,第二级互连可以不将封装基板102耦合到电路板,但是可以替代地将封装基板102耦合到另一IC封装、内插器或任何其他适当的部件。
虽然图1A描绘了具有特定数量的管芯114和单一第一桥接部件110的多层管芯子组件104,但是这一数量和布置仅是说明性的,并且多层管芯子组件104可以包括耦合到封装基板102的任何期望的数量和布置的管芯114和第一桥接部件110。虽然图1A示出了具有耦合到单一微电子部件120的单一第二桥接部件112的封装基板102,但是微电子组件100可以具有耦合到任何期望的数量和布置的微电子部件120的任何期望的数量和布置的第二桥接部件112。虽然图1A示出了作为双面管芯的管芯114-1以及作为单面管芯的管芯114-2、114-3,但是管芯114可以是单面或双面管芯,并且可以是单间距管芯或混合间距管芯。在一些实施例中,额外的部件可以设置在管芯114-2和/或114-3的顶表面上。在此情况下,双面管芯是指在两个表面上具有连接的管芯。在一些实施例中,双面管芯可以包括TSV,以在两个表面上形成连接。取决于设计和电要求,双面管芯的有源表面(其是含有一个或多个有源装置和大部分互连的表面)可以面向任一方向。
图1A中的微电子组件100的元件中的许多元件被包括在附图的其他附图中;在讨论这些附图时不再重复对这些元件的讨论,并且这些元件中的任何元件可以采取本文中公开的任何形式。此外,图1中示出了被包括在微电子组件100中的多个元件,但是在微电子组件100中可以不存在这些元件中的多个元件。在一些实施例中,本文中公开的微电子组件100中的单个微电子组件可以充当系统级封装(SiP),其中包括具有不同功能性的多个管芯114。在这样的实施例中,微电子组件100可以被称为SiP。
图1B是根据各个实施例的示例性微电子组件的侧视截面图。微电子组件100可以包括具有管芯114、第一桥接部件110和重分布层(RDL)148的多层管芯子组件104、具有第二桥接部件112的封装基板102、以及微电子部件120,其中,微电子部件120经由第一桥接部件110和第二桥接部件112电耦合到管芯114。具体地,多层管芯子组件104可以包括位于第一层104-1的第一表面170-1处的第一RDL 148-1,其中,第一桥接部件110经由第一RDL 148-1以及位于第一层104-1与第二层104-2之间的第二RDL 148-2电耦合到封装基板102中的第二桥接部件112,其中,管芯114-1经由第二RDL 148-2电耦合到管芯114-2、114-3。多层管芯子组件104还可以包括位于管芯114-2的占用区域内并且具有穿硅过孔(TSV)117的第一层104-1中的管芯114-4。管芯114-4可以经由第二RDL 148-2电耦合到管芯114-2。
RDL 148可以包括绝缘材料(例如,如本领域中所已知的,形成在多个层中的电介质材料)以及穿过电介质材料的一个或多个导电通路196(例如,如图所示,包括导电迹线和/或导电过孔)。导电通路196可以电耦合位于RDL 148上的第一导电接触部172和第二导电接触部174。具体地,RDL 148可以包括位于RDL的底表面上的第一导电接触部172、位于RDL的顶表面上的第二导电接触部174、以及位于第一导电接触部172与第二导电接触部174之间的导电通路196(例如,第一RDL 148-1中的第一导电通路196-1以及第二RDL 148-2中的第二导电通路196-2)。在一些实施例中,RDL 148的绝缘材料可以由电介质材料、双马来酰亚胺三嗪(BT)树脂、聚酰亚胺材料、环氧树脂材料(例如,玻璃增强环氧树脂基质材料、环氧树脂堆积膜等)、模制材料、基于氧化物的材料(例如,二氧化硅或旋涂氧化物)或者低k和超低k电介质(例如,碳掺杂的电介质、氟掺杂的电介质、多孔电介质和有机聚合物电介质)构成。多层管芯子组件104可以具有任何适当数量的RDL 148。在一些实施例中,多层管芯子组件104可以包括一个RDL 148或者三个或更多个RDL 148。
图2是根据各个实施例的示例性微电子组件的侧视截面图。如图2所示,具有桥接部件110的多个多层管芯子组件104可以经由封装基板102中的桥接部件112耦合。微电子组件100可以包括具有第一桥接部件110A和管芯114-2的第一多层管芯子组件104A、具有第二桥接部件112的封装基板102、以及具有第三桥接部件110B和管芯114-6的第二多层管芯子组件,其中,管芯114-2和管芯114-6经由第一桥接部件110A、第二桥接部件112和第三桥接部件110B电耦合。第一多层管芯子组件104A可以包括位于第一层104-1中的桥接部件110A、管芯114-1和导电柱152、以及位于第二层中的管芯114-2、114-3。第二多层管芯子组件104B可以包括位于第一层104-1中的桥接部件110B、管芯114-5和导电柱152、以及位于第二层中的管芯114-6、114-7。封装基板102可以包括桥接部件112。管芯114-2可以经由桥接部件110A、112和110B耦合到管芯114-6。
图3是根据各个实施例的微电子组件中的桥接部件的示例性布置的俯视图。如图3所示,多层管芯子组件104C可以包括管芯114-1、114-2、114-3以及多个嵌入式桥接部件110C。管芯114-1可以位于底层(例如,如上文参考图1A所描述的,第一层104-1)中,并且管芯114-2、114-3可以位于顶层(例如,如上文参考图1A所描述的,第二层104-2)中。嵌入式桥接部件110C1中的一些嵌入式桥接部件可以至少部分地位于管芯114-2的占用区域内,并且嵌入式桥接部件110C2中的一些嵌入式桥接部件可以至少部分地位于管芯114-3的占用区域内。封装基板102可以包括嵌入式桥接部件112C、112D和112E。多层管芯子组件104D可以包括管芯114-8、114-9、114-10、114-11、114-12以及多个嵌入式桥接部件110D。管芯114-8、114-9可以位于底层中,并且管芯114-10、114-11、114-12可以位于顶层中。嵌入式桥接部件110D1中的一些嵌入式桥接部件可以至少部分地位于管芯114-10的占用区域内,嵌入式桥接部件110D2中的一些嵌入式桥接部件可以至少部分地位于管芯114-11的占用区域内,并且嵌入式桥接部件110D3中的一些嵌入式桥接部件可以至少部分地位于管芯114-12的占用区域内。嵌入式桥接部件110C中的相应的嵌入式桥接部件可以经由第二桥接部件112C耦合到微电子部件120C中的相应的微电子部件。多层管芯子组件104C的嵌入式桥接部件110C2中的相应的嵌入式桥接部件可以经由第二桥接部件112D耦合到多层管芯子组件104D的嵌入式桥接部件110D1中的相应的嵌入式桥接部件。嵌入式桥接部件110D中的相应的嵌入式桥接部件可以经由第二桥接部件112E耦合到微电子部件120D中的相应的微电子部件。虽然图3示出了具有特定数量和布置的多层管芯子组件104、微电子部件120和桥接部件110、112的微电子组件100,但是微电子组件100可以具有任何适当的数量和布置的多层管芯子组件104、微电子部件120和桥接部件110、112。
任何适当的技术可以用于制造本文中所公开的微电子组件100。例如,图4A-图4I是根据各个实施例的用于制造图1B中的微电子组件100的示例性工艺中的各个阶段的侧视截面图。虽然下文参考图4A-图4I(以及附图中的代表制造工艺的其他附图)讨论的操作以特定的顺序示出,但是这些操作可以以任何适当的顺序执行。此外,也可以执行没有示出的额外的操作,而不脱离本公开的范围。而且,本文中关于图4A-图4I讨论的操作中的各个操作可以根据本公开来修改,以制作本文中公开的微电子组件100的其他部分。
图4A示出了在载体105上形成第一RDL 148-1之后的组件。载体105可以包括用于在制造操作期间提供机械稳定性的任何适当的材料,并且在一些实施例中,可以包括半导体晶圆(例如,硅晶圆)或玻璃(例如,玻璃面板)。第一RDL 148-1可以包括位于在第一RDL148-1的底表面上的导电接触部172与在第一RDL 148-1的顶表面上的导电接触部174之间的导电通路196-1。可以使用任何适当的技术来制造第一RDL 148-1,例如PCB技术或重分布层技术。
图4B示出了在将导电材料(例如铜)沉积在第一RDL 148-1的顶表面上以生成导电柱152、将管芯114-1、114-4以及第一桥接部件110放置在第一RDL 148-1的顶表面上以及形成互连130之后的组件。在一些实施例中,第一RDL 148-1可以被省略(例如,如图1A所示)。在这样的实施例中,导电柱152可以形成在载体105上,并且管芯114-1、114-4以及第一桥接部件110可以放置在载体105上。可以使用任何适当的技术来形成导电柱152,例如,光刻工艺或加成工艺(例如冷喷涂和三维光刻)。导电柱152可以具有任何适当的尺寸。在一些实施例中,导电柱152可以跨越一个或多个层。例如,在一些实施例中,单个导电柱152可以具有介于0.5:1与4:1之间(例如,介于1:1与3:1之间)的深宽比(高度:直径)。在一些实施例中,单个导电柱152可以具有介于10微米与200微米之间的直径(例如,截面)。例如,单个导电柱152可以具有介于50微米与400微米之间的直径。在一些实施例中,单个导电柱152可以具有介于50与500微米之间的高度(例如,z高度或厚度)。导电柱152可以具有任何适当的截面形状,例如,正方形、矩形和椭圆形以及除此之外的其他形状。任何适当的方法(例如,自动化的拾取和放置)可以用于放置管芯114-1、114-4以及第一桥接部件110。第一桥接部件110可以包括位于底表面上的一组第一导电接触部123以及位于顶表面上的一组第二导电接触部125。管芯114-1、114-4可以包括位于底表面上的一组第一导电接触部122以及位于顶表面上的一组第二导电接触部124。在一些实施例中,互连130可以包括焊料。在这样的实施例中,图4B中的组件可以经受焊料回流工艺,在焊料回流工艺期间,互连130的焊料部件熔化并接合,以将管芯114-1、114-4以及第一桥接部件110机械耦合和电耦合到第一RDL 148-1的顶表面。
图4C示出了在将绝缘材料133沉积在管芯114-1、114-4、第一桥接部件110以及导电柱152上和周围之后的组件。绝缘材料133可以是模制材料,例如具有无机二氧化硅颗粒的有机聚合物、环氧树脂材料、或者硅和氮材料(例如,以氮化硅的形式)。在一些实施例中,绝缘材料133是电介质材料。在一些实施例中,电介质材料可以包括有机电介质材料、阻燃等级4材料(FR-4)、BT树脂、聚酰亚胺材料、玻璃增强环氧树脂基质材料、或者低k和超低k电介质(例如,碳掺杂的电介质、氟掺杂的电介质、多孔电介质和有机聚合物电介质)。可以使用任何适当的工艺(包括层合或者缝隙涂覆和固化)来形成绝缘材料133。在一些实施例中,绝缘材料133可以以液体形式分配,以围绕部件和金属化部流动并且与部件和金属化部的各种形状一致,并且随后,可以经受例如固化的工艺(其使绝缘材料133固化)。在一些实施例中,绝缘材料133可以最初沉积在管芯114-1、114-4、第一桥接部件110以及导电柱152的顶表面上和顶表面之上,然后被往回抛光以暴露位于管芯114-1、114-4上的导电接触部124的顶表面、位于第一桥接部件110上的导电接触部125的顶表面以及导电柱152。如果绝缘材料133被形成为完全覆盖管芯114-1、114-4、第一桥接部件110以及导电柱152,则可以使用任何适当的技术去除绝缘材料133,该技术包括研磨或蚀刻,例如湿法蚀刻、干法蚀刻(例如,等离子体蚀刻)、湿法喷砂或激光烧蚀(例如,使用准分子激光)。在一些实施例中,可以使绝缘材料133的厚度最小化,以减少所需的蚀刻时间。在一些实施例中,可以使用任何适当的工艺(例如化学机械抛光(CMP))对绝缘材料133的顶表面进行平坦化。在一些实施例中,在沉积绝缘材料133之前,底部填充物127可以被分配在互连130周围。在一些实施例中,位于互连130周围的底部填充物127可以被省略。
图4D示出了在图4C中的组件的顶表面上形成第二RDL 148-2之后的组件。第二RDL148-2可以包括位于在第二RDL 148-2的底表面上的导电接触部172与在第二RDL 148-2的顶表面上的导电接触部174之间的导电通路196-2。可以使用任何适当的技术,例如PCB技术或重分布层技术,来制造第二RDL 148-2。在一些实施例中,第二RDL 148-1可以被省略(例如,如图1A所示)。
图4E示出了在将管芯114-2、114-3放置在图4D中的组件的顶表面上、形成互连130并且将绝缘材料133沉积在管芯114-2、114-3上和管芯114-2、114-3周围之后的组件。任何适当的方法(例如,自动化的拾取和放置)可以用于放置管芯114-2、114-3。管芯114-2、114-3可以包括位于底表面上的一组第一导电接触部122。在一些实施例中,互连130可以包括焊料。在这样的实施例中,图4E中的组件可以经受焊料回流工艺,在焊料回流工艺期间,互连130的焊料部件熔化并接合,以将管芯114-2、114-3机械耦合和电耦合到第二RDL 148-2的顶表面。绝缘材料133可以包括任何适当的材料,并且可以使用任何适当的工艺(包括如上文参考图4C所描述的)来形成和去除。在一些实施例中,第一层104-1中的绝缘材料133(例如,在图4C中沉积的)是与第二层104-2中的绝缘材料133(例如,在图4E中沉积的)不同的材料。在一些实施例中,第一层104-1中的绝缘材料133(例如,在图4C中沉积的)是与第二层104-2中的绝缘材料133(例如,在图4E中沉积的)相同的材料。在一些实施例中,在沉积绝缘材料133之前,底部填充物127可以被分配在互连130周围。在一些实施例中,位于互连130周围的底部填充物127可以被省略。
图4F示出了在去除载体105并且执行表面处理操作(例如在底表面上(例如,在第一表面170-1处)沉积阻焊剂(未示出)以及沉积焊料134)之后的组件。在一些实施例中,可以在去除载体105之后形成位于第一RDL 148-1的底表面上的导电接触部172。如果多个组件一起制造,则可以在去除载体105之后对组件进行单个化。如图所示,图4F中的组件本身可以是微电子组件100。如下文在图4G-图4I中所示,可以对图4F中的微电子组件100执行其他制造操作。
图4G示出了在形成封装基板102并且将第二桥接部件112嵌入封装基板之后的组件。可以使用任何适当的技术(例如PCB技术)来形成封装基板102。
图4H示出了在将图4F中的组件耦合到图4G中的组件的顶表面并且形成DTPS互连150之后的组件。在一些实施例中,如图所示,DTPS互连150可以包括位于第一RDL 148-1的底表面上的第一导电接触部172、焊料134、以及位于封装基板102的顶表面上的导电接触部146。在这样的实施例中,图4H中的组件可以经受焊料回流工艺,在焊料回流工艺期间,DTPS互连150的焊料部件熔化并接合,以将多层管芯子组件104机械耦合和电耦合到封装基板102的顶表面。第一桥接部件110可以经由DTPS互连150电耦合到第二桥接部件112。在一些实施例中,底部填充物127可以被分配在DTPS互连150周围。在一些实施例中,位于DTPS互连150周围的底部填充物127可以被省略。如图所示,图4H中的组件本身可以是微电子组件100。如下文在图4I中所示,可以对图4H中的微电子组件100执行其他制造操作。
图4I示出了在将微电子部件120耦合到图4H中的组件的顶表面并且形成DTPS互连150之后的组件。在一些实施例中,如图所示,DTPS互连150可以包括位于微电子部件120的底表面上的导电接触部145、焊料134、以及位于封装基板102的顶表面上的导电接触部146。在这样的实施例中,图4I中的组件可以经受焊料回流工艺,在焊料回流工艺期间,DTPS互连150的焊料部件熔化并接合,以将微电子部件120机械耦合和电耦合到封装基板102的顶表面。微电子部件120可以经由DTPS互连150耦合到第一桥接部件110和第二桥接部件112。在一些实施例中,底部填充物127可以被分配在DTPS互连150周围。在一些实施例中,位于DTPS互连150周围的底部填充物127可以被省略。如图所示,图4I中的组件本身可以是微电子组件100。可以对图4I中的微电子组件100执行其他制造操作,例如,额外的第二桥接部件112可以嵌入封装基板102中,并且额外的微电子部件120可以耦合到第二桥接部件112。
图5是根据各个实施例的制作示例性微电子组件的示例性方法的流程图。在502处,形成包括嵌入式第一桥接部件110的多层管芯子组件104。可以使用任何适当的技术(例如包括如上文参考图4所描述的)来形成包括嵌入式第一桥接部件110的多层管芯子组件104。
在504处,形成包括嵌入式第二桥接部件112的封装基板102。可以使用任何适当的技术(例如包括如上文参考图4所描述的)来形成包括嵌入式第二桥接部件112的封装基板102。
在506处,多层管芯子组件104附接到封装基板102的表面,并且第一桥接部件110电耦合到第二桥接部件112。
在508处,微电子部件120附接到封装基板102的表面并且电耦合到第二桥接部件112,使得微电子部件120经由第一桥接部件110和第二桥接部件112电耦合到多层管芯子组件104中的管芯。
本文中公开的微电子组件100可以用于任何适当的应用。例如,在一些实施例中,微电子组件100可以用于针对尤其是移动装置和小的形状因子装置中的现场可编程门阵列(FPGA)或处理单元(例如,中央处理单元、图形处理单元、SoC(片上系统)、FPGA、AI处理器、调制解调器、应用处理器等)实现非常小的形状因子电压调节。在另一示例中,微电子组件100中的管芯114可以是处理装置(例如,中央处理单元、图形处理单元、SoC、FPGA、AI处理器、调制解调器、应用处理器等)。
本文中公开的微电子组件100可以被包括在任何适当的电子部件中。图6-图9示出了可以包括本文中公开的微电子组件100中的任何微电子组件或者可以被包括在本文中公开的微电子组件100中的任何微电子组件中的设备的各种示例。
图6是可以被包括在本文中公开的微电子组件100中的任何微电子组件中的晶圆1500和管芯1502(例如,管芯114中的任何适当的管芯)的俯视图。晶圆1500可以由半导体材料构成,并且可以包括具有形成在晶圆1500的表面上的IC结构的一个或多个管芯1502。管芯1502中的每个管芯可以是包括任何适当的IC的半导体产品的重复单元。在半导体产品的制作完成之后,晶圆1500可以经历单个化工艺,其中管芯1502彼此分隔开以提供半导体产品的分立的“芯片”。管芯1502可以是本文中公开的管芯114中的任何管芯。管芯1502可以包括一个或多个晶体管(例如,下文所讨论的图7中的晶体管1640中的一些晶体管)、将电信号布线到晶体管的支持电路系统、无源部件(例如,信号迹线、电阻器、电容器、或电感器),和/或任何其他IC部件。在一些实施例中,晶圆1500或管芯1502可以包括存储器装置(例如,随机存取存储器(RAM)装置,例如静态RAM(SRAM)装置、磁RAM(MRAM)装置、电阻式RAM(RRAM)装置、导电桥接式RAM(CBRAM)装置等)、逻辑装置(例如,AND、OR、NAND或NOR门)或任何其他适当的电路元件。这些装置中的多个装置可以被组合在单一管芯1502上。例如,由多个存储器装置形成的存储阵列可以与处理装置(例如,图9中的处理装置1802)或被配置为将信息存储在存储器装置中或执行存储在存储阵列中的指令的其他逻辑单元形成在同一管芯1502上。在一些实施例中,管芯1502(例如,管芯114)可以是中央处理单元、射频芯片、功率转换器或网络处理器。可以使用管芯到晶圆组装技术来制造本文中公开的微电子组件100中的各个微电子组件,在该技术中,一些管芯114附接到包括管芯114中的其他管芯的晶圆1500,并且晶圆1500随后被单个化。
图7是可以被包括在本文中公开的微电子组件100中的任何微电子组件中(例如,管芯114中的任何管芯中)的IC装置1600的截面侧视图。IC装置1600中的一个或多个IC装置可以被包括在一个或多个管芯1502(图6)中。IC装置1600可以形成在管芯基板1602(例如,图6中的晶圆1500)上,并且可以被包括在管芯(例如,图6中的管芯1502)中。管芯基板1602可以是由例如包括n型或p型材料系统(或两者的组合)的半导体材料系统构成的半导体基板。管芯基板1602可以例如包括使用体硅或绝缘体上硅(SOI)子结构形成的晶体基板。在一些实施例中,可以使用替代材料形成管芯基板1602,该替代材料可以与硅组合或可以不与硅组合,包括但不限于:锗、锑化铟、碲化铅、砷化铟、磷化铟、砷化镓、或锑化镓。也可以使用被分类为II-VI族、III-V族或IV族的其他材料形成管芯基板1602。虽然本文描述了可以形成管芯基板1602的材料的一些示例,但是可以使用可以充当IC装置1600的基础的任何材料。管芯基板1602可以是单个化的管芯(例如,图6中的管芯1502)或晶圆(例如,图6中的晶圆1500)的部分。
IC装置1600可以包括设置在管芯基板1602上的一个或多个装置层1604。装置层1604可以包括形成在管芯基板1602上的一个或多个晶体管1640(例如,金属氧化物半导体场效应晶体管(MOSFET))的特征。装置层1604可以例如包括一个或多个源极和/或漏极(S/D)区域1620、用于控制晶体管1640中的S/D区域1620之间的电流流动的栅极1622、以及用于将电信号布线到S/D区域1620/对来自S/D区域1620的电信号进行布线的一个或多个S/D接触部1624。晶体管1640可以包括为了清楚起见而未描绘的额外的特征,例如装置隔离区域、栅极接触部等。晶体管1640不限于图7中描绘的类型和构造,并且可以包括多种多样的其他类型和构造,例如,平面晶体管、非平面晶体管或者两者的组合。非平面晶体管可以包括FinFET晶体管(例如双栅极晶体管或三栅极晶体管)以及环绕栅极晶体管或全环绕栅极晶体管(例如纳米带和纳米线晶体管)。
每个晶体管1640可以包括由至少两个层(即,栅极电介质和栅极电极)形成的栅极1622。栅极电介质可以包括一个层或层的堆叠体。一个或多个层可以包括氧化硅、二氧化硅、碳化硅和/或高k电介质材料。高k电介质材料可以包括诸如铪、硅、氧、钛、钽、镧、铝、锆、钡、锶、钇、铅、钪、铌和锌的元素。可以用在栅极电介质中的高k材料的示例包括但不限于:氧化铪、氧化铪硅、氧化镧、氧化镧铝、氧化锆、氧化锆硅、氧化钽、氧化钛、氧化钡锶钛、氧化钡钛、氧化锶钛、氧化钇、氧化铝、氧化铅钪钽和铌酸铅锌。在一些实施例中,可以对栅极电介质执行退火工艺以在使用高k材料时改进其质量。
栅极电极可以形成在栅极电介质上,并且可以包括至少一种p型功函数金属或n型功函数金属,这取决于晶体管1640将是PMOS晶体管还是NMOS晶体管。在一些实施方式中,栅极电极可以由两个或更多个金属层的堆叠体组成,其中,一个或多个金属层是功函数金属层,并且至少一个金属层是填充金属层。可以出于其他目的包括其他金属层,例如阻挡层。对于PMOS晶体管来说,可以用于栅极电极的金属包括但不限于:钌、钯、铂、钴、镍、导电金属氧化物(例如,氧化钌)以及下文参考NMOS晶体管讨论的金属中的任何金属(例如,用于功函数调节)。对于NMOS晶体管来说,可以用于栅极电极的金属包括但不限于:铪、锆、钛、钽、铝、这些金属的合金、这些金属的碳化物(例如,碳化铪、碳化锆、碳化钛、碳化钽和碳化铝)以及上文参考PMOS晶体管讨论的金属中的任何金属(例如,用于功函数调节)。
在一些实施例中,当从晶体管1640的沿源极-沟道-漏极方向的截面观察时,栅极电极可以由U形结构组成,该U形结构包括基本上平行于管芯基板1602的表面的底部部分和基本上垂直于管芯基板1602的顶表面的两个侧壁部分。在其他实施例中,形成栅极电极的金属层中的至少一个金属层可以简单地是基本上平行于管芯基板1602的顶表面的平面层,并且不包括基本上垂直于管芯基板1602的顶表面的侧壁部分。在其他实施例中,栅极电极可以由U形结构与平面非U形结构的组合组成。例如,栅极电极可以由形成在一个或多个平面非U形层的顶部的一个或多个U形金属层组成。
在一些实施例中,一对侧壁间隔体可以形成在栅极堆叠体的相对侧上,以将栅极堆叠体夹在其间。侧壁间隔体可以由诸如氮化硅、氧化硅、碳化硅、掺杂碳的氮化硅和氮氧化硅的材料形成。用于形成侧壁间隔体的工艺是本领域中公知的,并且一般包括沉积和蚀刻工艺步骤。在一些实施例中,可以使用多个间隔体对;例如,可以在栅极堆叠体的相对侧上形成两对、三对或四对侧壁间隔体。
S/D区域1620可以形成在管芯基板1602内,与每个晶体管1640的栅极1622相邻。可以使用例如注入/扩散工艺或蚀刻/沉积工艺形成S/D区域1620。在前一种工艺中,诸如硼、铝、锑、磷或砷的掺杂剂可以被离子注入到管芯基板1602中以形成S/D区域1620。退火工艺可以在离子注入工艺之后,退火工艺将掺杂剂激活并使它们向管芯基板1602中扩散得更远。在后一种工艺中,可以首先对管芯基板1602进行蚀刻,以在S/D区域1620的位置处形成凹陷。然后,可以执行外延沉积工艺以采用用于制作S/D区域1620的材料填充该凹陷。在一些实施方式中,S/D区域1620可以是使用硅合金(例如硅锗或碳化硅)制作的。在一些实施例中,可以采用诸如硼、砷或磷的掺杂剂对外延沉积的硅合金进行原位掺杂。在一些实施例中,可以使用一种或多种替代半导体材料(例如锗或III-V族材料或合金)形成S/D区域1620。在其他实施例中,可以使用一层或多层的金属和/或金属合金来形成S/D区域1620。
可以通过设置在装置层1604上的一个或多个互连层(在图7中被示为互连层1606-1610)将电信号(例如功率和/或输入/输出(I/O)信号)布线到装置层1604的装置(例如,晶体管1640)和/或对来自装置层1604的装置的电信号进行布线。例如,装置层1604的导电特征(例如,栅极1622和S/D接触部1624)可以与互连层1606-1610的互连结构1628电耦合。一个或多个互连层1606-1610可以形成IC装置1600的金属化堆叠体(也称为“ILD堆叠体”)1619。
互连结构1628可以布置在互连层1606-1610内,以根据多种多样的设计来对电信号进行布线;具体而言,该布置不限于图7中描绘的互连结构1628的特定构造。虽然图7中描绘了特定数量的互连层1606-1610,但是本公开的实施例包括具有比所描绘的互连层更多或更少的互连层的IC装置。
在一些实施例中,互连结构1628可以包括填充有导电材料(例如金属)的线1628a和/或过孔1628b。线1628a可以被布置为在与管芯基板1602的其上形成装置层1604的表面基本上平行的平面的方向上对电信号进行布线。例如,线1628a可以在从图7的视角进出页面的方向上对电信号进行布线。过孔1628b可以被布置为在与管芯基板1602的其上形成装置层1604的表面基本上垂直的平面的方向上对电信号进行布线。在一些实施例中,过孔1628b可以将不同互连层1606-1610的线1628a电耦合在一起。
如图7所示,互连层1606-1610可以包括设置在互连结构1628之间的电介质材料1626。在一些实施例中,设置在互连层1606-1610中的不同互连层中的互连结构1628之间的电介质材料1626可以具有不同的成分;在其他实施例中,位于不同互连层1606-1610之间的电介质材料1626的成分可以是相同的。
第一互连层1606(被称为金属1或“M1”)可以直接形成在装置层1604上。在一些实施例中,如图所示,第一互连层1606可以包括线1628a和/或过孔1628b。第一互连层1606的线1628a可以与装置层1604的接触部(例如,S/D接触部1624)耦合。
第二互连层1608(被称为金属2或“M2”)可以直接形成在第一互连层1606上。在一些实施例中,第二互连层1608可以包括过孔1628b,以将第二互连层1608的线1628a与第一互连层1606的线1628a耦合。尽管为了清楚起见,在每个互连层内(例如,在第二互连层1608内)用线在结构上绘出了线1628a和过孔1628b,但是在一些实施例中,线1628a和过孔1628b在结构上和/或在材料上可以是连续的(例如,在双镶嵌工艺期间被同时填充)。
第三互连层1610(被称为金属3或“M3”)(以及额外的互连层,依据需要)可以根据结合第二互连层1608或第一互连层1606描述的类似技术和构造接连形成在第二互连层1608上。在一些实施例中,IC装置1600中的金属化堆叠体1619中“级别更高”(即,距装置层1604更远)的互连层可以更厚。
IC装置1600可以包括形成在互连层1606-1610上的阻焊剂材料1634(例如,聚酰亚胺或类似材料)以及一个或多个导电接触部1636。在图7中,导电接触部1636被示为采取接合焊盘的形式。导电接触部1636可以与互连结构1628电耦合,并且被配置为将(多个)晶体管1640的电信号布线到其他外部装置。例如,焊料接合部可以形成在一个或多个导电接触部1636上,以将包括IC装置1600的芯片与另一部件(例如,电路板)机械耦合和/或电耦合。IC装置1600可以包括额外的或替代的结构,以对来自互连层1606-1610的电信号进行布线;例如,导电接触部1636可以包括将电信号布线到外部部件的其他类似特征(例如,柱)。
在IC装置1600是双面管芯(例如,如同管芯114-1)的一些实施例中,IC装置1600可以包括位于(多个)装置层1604的相对侧的另一金属化堆叠体(未示出)。该金属化堆叠体可以包括如上文参考互连层1606-1610所讨论的多个互连层,以在(多个)装置层1604与位于IC装置1600的与导电接触部1636相对的一侧上的额外的导电接触部(未示出)之间提供导电通路(例如,包括导电线和过孔)。
在IC装置1600是双面管芯(例如,如同管芯114-1)的其他实施例中,IC装置1600可以包括穿过管芯基板1602的一个或多个TSV;这些TSV可以与(多个)装置层1604接触,并且可以在(多个)装置层1604和位于IC装置1600的与导电接触部1636相对的一侧上的额外的导电接触部(未示出)之间提供导电通路。
图8是可以包括本文中所公开的微电子组件100中的任何微电子组件的IC装置组件1700的截面侧视图。在一些实施例中,IC装置组件1700可以是微电子组件100。IC装置组件1700包括设置在电路板1702(其可以例如是母板)上的多个部件。IC装置组件1700包括设置在电路板1702的第一面1740和电路板1702的相对的第二面1742上的部件;一般地,部件可以设置在面1740和面1742中的一者或两者上。下文参考IC装置组件1700讨论的IC封装中的任何IC封装可以采取本文中所公开的微电子组件100的实施例中的任何适当的实施例的形式。
在一些实施例中,电路板1702可以是包括多个金属层的PCB,所述多个金属层通过电介质材料的层彼此分隔开并且通过导电过孔互连。可以依照期望的电路图案形成金属层中的任何一个或多个金属层,以在耦合到电路板1702的部件之间对电信号进行布线(可选地,结合其他金属层)。在其他实施例中,电路板1702可以是非PCB基板。在一些实施例中,电路板1702可以例如是电路板。
图8中所示的IC装置组件1700包括通过耦合部件1716耦合到电路板1702的第一面1740的内插器上封装结构1736。耦合部件1716可以将内插器上封装结构1736电耦合和机械耦合到电路板1702,并且可以包括焊料球(如图8中所示)、插座的公部分和母部分、粘合剂、底部填充材料和/或任何其他适当的电和/或机械耦合结构。
内插器上封装结构1736可以包括通过耦合部件1718耦合到内插器1704的IC封装1720。耦合部件1718可以针对应用采取任何适当的形式,例如上文参考耦合部件1716所讨论的形式。尽管图8中示出了单一IC封装1720,但是多个IC封装可以耦合到内插器1704;实际上,额外的内插器可以耦合到内插器1704。内插器1704可以提供用于将电路板1702和IC封装1720桥接的居间基板。IC封装1720可以例如是或者包括管芯(图6中的管芯1502)、IC装置(例如,图7中的IC装置1600)或者任何其他适当的部件。一般地,内插器1704可以将连接扩展到更宽的间距或者将连接重新布线到不同的连接。例如,内插器1704可以将IC封装1720(例如,管芯)耦合到耦合部件1716的一组球栅阵列(BGA)导电接触部,以便耦合到电路板1702。在图8所示的实施例中,IC封装1720和电路板1702附接到内插器1704的相对侧;在其他实施例中,IC封装1720和电路板1702可以附接到内插器1704的同一侧。在一些实施例中,三个或更多个部件可以通过内插器1704的方式互连。
在一些实施例中,内插器1704可以被形成为PCB,该PCB包括由电介质材料的层彼此分隔开并且通过导电过孔而互连的多个金属层。在一些实施例中,内插器1704可以由环氧树脂、玻璃纤维增强环氧树脂、具有无机填料的环氧树脂、陶瓷材料或诸如聚酰亚胺的聚合物材料形成。在一些实施例中,内插器1704可以由替代的刚性或柔性材料形成,该刚性或柔性材料可以包括与上文描述的用于半导体基板中的材料相同的材料,例如硅、锗以及其他III-V族和IV族材料。内插器1704可以包括金属互连1708和过孔1710,其包括但不限于TSV 1706。内插器1704还可以包括嵌入式装置1714,其包括无源装置和有源装置两者。这样的装置可以包括但不限于:电容器、去耦电容器、电阻器、电感器、熔断器、二极管、变压器、传感器、静电放电(ESD)装置和存储器装置。还可以在内插器1704上形成更复杂的装置,例如射频装置、功率放大器、功率管理装置、天线、阵列、传感器和微机电系统(MEMS)装置。内插器上封装结构1736可以采取本领域中已知的任何内插器上封装结构的形式。
IC装置组件1700可以包括通过耦合部件1722耦合到电路板1702的第一面1740的IC封装1724。耦合部件1722可以采取上文参考耦合部件1716讨论的实施例中的任何实施例的形式,并且IC封装1724可以采取上文参考IC封装1720讨论的实施例中的任何实施例的形式。
图8中所示的IC装置组件1700包括通过耦合部件1728耦合到电路板1702的第二面1742的封装上封装结构1734。封装上封装结构1734可以包括通过耦合部件1730耦合在一起的IC封装1726和IC封装1732,使得IC封装1726设置在电路板1702与IC封装1732之间。耦合部件1728和1730可以采取上文讨论的耦合部件1716的任何实施例的形式,并且IC封装1726和1732可以采取上文讨论的IC封装1720的任何实施例的形式。可以根据本领域中已知的封装上封装结构中的任何封装上封装结构来构造封装上封装结构1734。
图9是可以包括本文中所公开的微电子组件100中的一个或多个微电子组件的示例性电装置1800的框图。例如,电装置1800的部件中的任何适当的部件可以包括本文中所公开的IC装置组件1700、IC装置1600或管芯1502中的一个或多个,并且可以布置在本文中所公开的微电子组件100中的任何微电子组件中。图9中示出了被包括在电装置1800中的多个部件,但是这些部件中的任何一个或多个部件可以被省略或复制,以适于应用。在一些实施例中,被包括在电装置1800中的部件中的一些或所有部件可以附接到一个或多个母板。在一些实施例中,这些部件中的一些或所有部件可以被制作到单一片上系统(SoC)管芯上。
另外,在各种实施例中,电装置1800可以不包括图9中所示的部件中的一个或多个部件,但是电装置1800可以包括用于耦合到一个或多个部件的接口电路系统。例如,电装置1800可以不包括显示装置1806,但是可以包括显示装置1806可以耦合到的显示装置接口电路系统(例如,连接器和驱动器电路系统)。在另一组示例中,电装置1800可以不包括音频输入装置1824或音频输出装置1808,但是可以包括音频输入装置1824或音频输出装置1808可以耦合到的音频输入或输出装置接口电路系统(例如,连接器和支持电路系统)。
电装置1800可以包括处理装置1802(例如,一个或多个处理装置)。如本文中所使用的,术语“处理装置”或“处理器”可以指对来自寄存器和/或存储器的电子数据进行处理以将该电子数据转换成可以存储在寄存器和/或存储器中的其他电子数据的任何装置或装置的部分。处理装置1802可以包括一个或多个数字信号处理器(DSP)、专用IC(ASIC)、中央处理单元(CPU)、图形处理单元(GPU)、密码处理器(执行硬件内的密码算法的专用处理器)、服务器处理器或任何其他适当的处理装置。电装置1800可以包括存储器1804,存储器1804本身可以包括一个或多个存储器装置,例如易失性存储器(例如,动态随机存取存储器(DRAM))、非易失性存储器(例如,只读存储器(ROM))、闪存存储器、固态存储器和/或硬盘驱动器。在一些实施例中,存储器1804可以包括与处理装置1802共享管芯的存储器。该存储器可以用作高速缓存存储器,并且可以包括嵌入式动态随机存取存储器(eDRAM)或自旋转移矩磁性随机存取存储器(STT-MRAM)。
在一些实施例中,电装置1800可以包括通信芯片1812(例如,一个或多个通信芯片)。例如,通信芯片1812可以被配置用于管理向电装置1800和从电装置1800传输数据的无线通信。术语“无线”及其衍生词可以用于描述可以通过使用经调制的电磁辐射通过非固态介质来传送数据的电路、装置、系统、方法、技术、通信信道等。该术语不暗示相关联的装置不含有任何导线,尽管在一些实施例中,它们可能不含有导线。
通信芯片1812可以实施多种无线标准或协议中的任何无线标准或协议,包括但不限于电气和电子工程师协会(IEEE)标准,其包括Wi-Fi(IEEE 802.11系列)、IEEE 802.16标准(例如,IEEE 802.16-2005修订版)、长期演进(LTE)项目以及任何修订版、更新版和/或修正版(例如,高级LTE项目、超移动宽带(UMB)项目(也被称为“3GPP2”)等)。兼容IEEE 802.16的宽带无线接入(BWA)网络一般被称为WiMAX网络,WiMAX是代表全球微波接入互操作性的首字母缩写词,是通过了针对IEEE 802.16标准的一致性和互操作性测试的产品的认证标志。通信芯片1812可以根据全球移动通信系统(GSM)、通用分组无线电服务(GPRS)、通用移动电信系统(UMTS)、高速分组接入(HSPA)、演进型HSPA(E-HSPA)或LTE网络进行操作。通信芯片1812可以根据GSM演进的增强数据(EDGE)、GSM EDGE无线电接入网络(GERAN)、通用陆地无线电接入网络(UTRAN)或演进型UTRAN(E-UTRAN)进行操作。通信芯片1812可以根据码分多址(CDMA)、时分多址(TDMA)、数字增强无绳电信(DECT)、演进数据优化(EV-DO)及其衍生物以及任何其他被指定为3G、4G、5G和更高版本的无线协议进行操作。在其他实施例中,通信芯片1812可以根据其他无线协议进行操作。电装置1800可以包括天线1822以便于无线通信和/或接收其他无线通信(例如AM或FM无线电传输)。
在一些实施例中,通信芯片1812可以管理有线通信,例如电、光或任何其他适当的通信协议(例如,以太网)。如上所述,通信芯片1812可以包括多个通信芯片。例如,第一通信芯片1812可以专用于较短程的无线通信,例如Wi-Fi或蓝牙,并且第二通信芯片1812可以专用于较长程的无线通信,例如全球定位系统(GPS)、EDGE、GPRS、CDMA、WiMAX、LTE、EV-DO或者其他。在一些实施例中,第一通信芯片1812可以专用于无线通信,并且第二通信芯片1812可以专用于有线通信。
电装置1800可以包括电池/电源电路系统1814。电池/电源电路系统1814可以包括一个或多个能量存储装置(例如,电池或电容器)和/或用于将电装置1800的部件耦合到与电装置1800分隔开的能量源(例如,AC线路电源)的电路系统。
电装置1800可以包括显示装置1806(或如上文所讨论的对应的接口电路系统)。显示装置1806可以包括任何视觉指示物,例如平视显示器、计算机监视器、投影仪、触摸屏显示器、液晶显示器(LCD)、发光二极管显示器或平板显示器。
电装置1800可以包括音频输出装置1808(或如上文所讨论的对应的接口电路系统)。音频输出装置1808可以包括生成听觉指示物的任何装置,例如扬声器、耳机或耳塞。
电装置1800可以包括音频输入装置1824(或如上文所讨论的对应的接口电路系统)。音频输入装置1824可以包括生成代表声音的信号的任何装置,例如麦克风、麦克风阵列或数字乐器(例如,具有音乐乐器数字接口(MIDI)输出的乐器)。
电装置1800可以包括GPS装置1818(或如上文所讨论的对应的接口电路系统)。如本领域中已知的,GPS装置1818可以与基于卫星的系统通信,并且可以接收电装置1800的位置。
电装置1800可以包括其他输出装置1810(或如上文所讨论的对应的接口电路系统)。其他输出装置1810的示例可以包括音频编码解码器、视频编码解码器、打印机、用于向其他装置提供信息的有线或无线发射器、或者额外的存储装置。
电装置1800可以包括其他输入装置1820(或如上文所讨论的对应的接口电路系统)。其他输入装置1820的示例可以包括加速度计、陀螺仪、罗盘、图像捕获装置、键盘、光标控制装置(例如鼠标、触控笔、触摸板)、条形码读取器、快速响应(QR)码读取器、任何传感器、或者射频识别(RFID)读取器。
电装置1800可以具有任何期望的形状因子,例如计算装置或者手持式、便携式或移动计算装置(例如,手机、智能电话、移动互联网装置、音乐播放器、平板电脑、膝上型计算机、上网本计算机、超级本计算机、个人数字助理(PDA)、超移动个人计算机等)、台式电装置、服务器或其他联网的计算部件、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、车辆控制单元、数码相机、数码录像机或者可穿戴计算装置。在一些实施例中,电装置1800可以是处理数据的任何其他电子装置。
以下段落提供了本文中所公开的实施例的各种示例。
示例1是一种微电子组件,包括:微电子子组件,该微电子子组件包括:位于第一层中的第一管芯,其中,第一管芯包括第一表面和相对的第二表面;位于第一层中的第一桥接部件,其中,第一桥接部件包括第一表面和相对的第二表面;以及位于第二层中的第二管芯,其中,第二层位于第一层上,并且其中,第二管芯的表面电耦合到第一管芯和第一桥接部件的第二表面;封装基板,该封装基板具有第一表面和相对的第二表面;第二桥接部件,该第二桥接部件在第一表面与第二表面之间嵌入封装基板中,其中,第二桥接部件电耦合到第一桥接部件的第一表面;以及微电子部件,该微电子部件位于封装基板的第二表面上并且电耦合到第二桥接部件,其中,微电子部件经由第一桥接部件和第二桥接部件电耦合到第二管芯。
示例2可以包括示例1的主题,并且还可以指定微电子部件包括第三桥接部件,其中,第三桥接部件嵌入在微电子部件中并且电耦合到第二桥接部件,并且其中,微电子部件经由第一桥接部件、第二桥接部件和第三桥接部件电耦合到第二管芯。
示例3可以包括示例1或2的主题,并且还可以指定第一桥接部件包括位于第一表面处的第一导电接触部以及位于第二表面处的第二导电接触部,并且其中,第一导电接触部具有与第二导电接触部相同的间距。
示例4可以包括示例1或2的主题,并且还可以指定第一桥接部件包括位于第一表面处的第一导电接触部以及位于第二表面处的第二导电接触部,并且其中,第一导电接触部具有比第二导电接触部更大的间距。
示例5可以包括示例1或2的主题,并且还可以指定第二管芯包括位于表面处的具有介于10微米与50微米之间的间距的导电接触部,并且其中,封装基板包括位于第二表面处的具有介于40微米与130微米之间的间距的导电接触部。
示例6可以包括示例1的主题,并且还可以指定第一桥接部件是多个第一桥接部件中的一个第一桥接部件。
示例7可以包括示例1-6中的任何一项的主题,并且还可以指定第二桥接部件是多个第二桥接部件中的一个第二桥接部件。
示例8可以包括示例1-7中的任何一项的主题,并且还可以指定微电子部件是单片管芯、高带宽存储器、或堆叠的管芯。
示例9可以包括示例1-8中的任何一项的主题,并且还可以指定第二管芯是图形处理器。
示例10可以包括示例1-8中的任何一项的主题,并且还可以指定第二管芯是服务器处理器。
示例11可以包括示例1-10中的任何一项的主题,并且还可以指定第一层和第二层包括一种或多种绝缘材料。
示例12可以包括示例1-11中的任何一项的主题,并且还可以包括位于第二层中的第三管芯,其中,第三管芯的表面电耦合到第一管芯的第二表面。
示例13可以包括示例1-12中的任何一项的主题,并且还可以包括位于第一层中的导电柱,其中,导电柱的第一端电耦合到封装基板,并且导电柱的相对的第二端电耦合到第二管芯的表面。
示例14是一种微电子组件,包括:第一微电子子组件,该第一微电子子组件包括:位于第一层中的第一管芯,其中,第一管芯包括第一表面和相对的第二表面;位于第一层中的第一桥接部件,其中,第一桥接部件包括第一表面和相对的第二表面;以及位于第二层中的第二管芯,其中,第二层位于第一层上,并且其中,第二管芯的表面电耦合到第一管芯和第一桥接部件的第二表面;第二微电子子组件,该第二微电子子组件包括:位于第一层中的第三管芯,其中,第三管芯包括第一表面和相对的第二表面;位于第一层中的第三桥接部件,其中,第三桥接部件包括第一表面和相对的第二表面;以及位于第二层中的第四管芯,其中,第二层位于第一层上,并且其中,第四管芯的表面电耦合到第三管芯和第三桥接部件的第二表面;封装基板,该封装基板具有第一表面和相对的第二表面;第二桥接部件,该第二桥接部件在第一表面与第二表面之间嵌入封装基板中,其中,第二桥接部件电耦合到第一桥接部件的第一表面以及第三桥接部件的第一表面,并且其中,第四管芯经由第一桥接部件、第二桥接部件和第三桥接部件电耦合到第二管芯。
示例15可以包括示例14的主题,并且还可以指定第一桥接部件包括位于第一表面处的第一导电接触部以及位于第二表面处的第二导电接触部,并且其中,第一导电接触部具有与第二导电接触部相同的间距。
示例16可以包括示例14的主题,并且还可以指定第一桥接部件包括位于第一表面处的第一导电接触部以及位于第二表面处的第二导电接触部,并且其中,第一导电接触部具有比第二导电接触部更大的间距。
示例17可以包括示例14的主题,并且还可以指定第二管芯包括位于表面处的具有介于10微米与50微米之间的间距的导电接触部,并且其中,封装基板包括位于第二表面处的具有介于40微米与130微米之间的间距的导电接触部。
示例18可以包括示例14-17中的任何一项的主题,并且还可以指定第一桥接部件是有源部件。
示例19可以包括示例14-17中的任何一项的主题,并且还可以指定第一桥接部件是无源部件。
示例20可以包括示例14-19中的任何一项的主题,并且还可以指定第二桥接部件是无源部件。
示例21是一种制造微电子组件的方法,包括:通过将第一桥接部件放置在第一层中来形成微电子子组件,其中,第一桥接部件包括第一表面和相对的第二表面;将管芯放置在第二层中,其中,第二层位于第一层上,以及将管芯电耦合到第一桥接部件的第二表面;形成具有第二桥接部件的封装基板,其中,第二桥接部件嵌入在封装基板中;在位于微电子子组件中的第一桥接部件与位于封装基板中的第二桥接部件之间形成第一互连;以及在微电子部件与位于封装基板中的第二桥接部件之间形成第二互连,其中,微电子部件经由第一桥接部件和第二桥接部件电耦合到管芯。
示例22可以包括示例21的主题,并且还可以指定微电子部件还包括第三桥接部件,并且其中,形成第二互连还包括:将第三桥接部件电耦合到第二桥接部件。
示例23可以包括示例21或22的主题,并且还可以指定微电子子组件还包括位于第一层中的导电柱,该导电柱电耦合到管芯。
示例24是一种微电子组件,包括:微电子子组件,该微电子子组件包括:第一管芯,该第一管芯具有带有第一导电接触部的第一表面以及带有第二导电接触部的相对的第二表面;第一桥接部件,该第一桥接部件具有带有第三导电接触部的第一表面以及带有第四导电接触部的相对的第二表面;第二管芯,该第二管芯具有带有第五导电接触部和第六导电接触部的表面,其中,第五导电接触部耦合到第二导电接触部并且第六导电接触部耦合到第四导电接触部;以及第三管芯,该第三管芯具有带有第七导电接触部的表面,其中,第七导电接触部耦合到第二导电接触部;封装基板,该封装基板具有带有第八导电接触部和第九导电接触部的表面,其中,第八导电接触部耦合到第三导电接触部;第二桥接部件,该第二桥接部件嵌入在封装基板中,电耦合到第八导电接触部和第九导电接触部;以及微电子部件,该微电子部件具有耦合到第九导电接触部的第十导电接触部,其中,微电子部件经由第一桥接部件和第二桥接部件耦合到第二管芯。

Claims (20)

1.一种微电子组件,包括:
微电子子组件,所述微电子子组件包括:
位于第一层中的第一管芯,其中,所述第一管芯包括第一表面和相对的第二表面;
位于所述第一层中的第一桥接部件,其中,所述第一桥接部件包括第一表面和相对的第二表面;以及
位于第二层中的第二管芯,其中,所述第二层位于所述第一层上,并且其中,所述第二管芯的表面电耦合到所述第一管芯和所述第一桥接部件的所述第二表面;
封装基板,所述封装基板具有第一表面和相对的第二表面;
第二桥接部件,所述第二桥接部件在所述第一表面与所述第二表面之间嵌入所述封装基板中,其中,所述第二桥接部件电耦合到所述第一桥接部件的所述第一表面;以及
微电子部件,所述微电子部件位于所述封装基板的所述第二表面上并且电耦合到所述第二桥接部件,其中,所述微电子部件经由所述第一桥接部件和所述第二桥接部件电耦合到所述第二管芯。
2.根据权利要求1所述的微电子组件,其中,所述微电子部件包括第三桥接部件,其中,所述第三桥接部件嵌入在所述微电子部件中并且电耦合到所述第二桥接部件,并且其中,所述微电子部件经由所述第一桥接部件、所述第二桥接部件和所述第三桥接部件电耦合到所述第二管芯。
3.根据权利要求1所述的微电子组件,其中,所述第二管芯包括位于所述表面处的具有介于10微米与50微米之间的间距的导电接触部,并且其中,所述封装基板包括位于所述第二表面处的具有介于40微米与130微米之间的间距的导电接触部。
4.根据权利要求1所述的微电子组件,其中,所述第一桥接部件是多个第一桥接部件中的一个第一桥接部件。
5.根据权利要求1所述的微电子组件,其中,所述第二桥接部件是多个第二桥接部件中的一个第二桥接部件。
6.根据权利要求1所述的微电子组件,其中,所述微电子部件是单片管芯、高带宽存储器、或堆叠的管芯。
7.根据权利要求1所述的微电子组件,其中,所述第二管芯是图形处理器。
8.根据权利要求1所述的微电子组件,其中,所述第二管芯是服务器处理器。
9.根据权利要求1所述的微电子组件,其中,所述第一层和所述第二层包括一种或多种绝缘材料。
10.根据权利要求1所述的微电子组件,还包括:
位于所述第一层中的导电柱,其中,所述导电柱的第一端电耦合到所述封装基板,并且所述导电柱的相对的第二端电耦合到所述第二管芯的所述表面。
11.一种微电子组件,包括:
第一微电子子组件,所述第一微电子子组件包括:
位于第一层中的第一管芯,其中,所述第一管芯包括第一表面和相对的第二表面;
位于所述第一层中的第一桥接部件,其中,所述第一桥接部件包括第一表面和相对的第二表面;以及
位于第二层中的第二管芯,其中,所述第二层位于所述第一层上,并且其中,所述第二管芯的表面电耦合到所述第一管芯和所述第一桥接部件的所述第二表面;
第二微电子子组件,所述第二微电子子组件包括:
位于第一层中的第三管芯,其中,所述第三管芯包括第一表面和相对的第二表面;
位于所述第一层中的第三桥接部件,其中,所述第三桥接部件包括第一表面和相对的第二表面;以及
位于第二层中的第四管芯,其中,所述第二层位于所述第一层上,并且其中,所述第四管芯的表面电耦合到所述第三管芯和所述第三桥接部件的所述第二表面;
封装基板,所述封装基板具有第一表面和相对的第二表面;
第二桥接部件,所述第二桥接部件在所述第一表面与所述第二表面之间嵌入所述封装基板中,其中,所述第二桥接部件电耦合到所述第一桥接部件的所述第一表面以及所述第三桥接部件的所述第一表面;并且
其中,所述第四管芯经由所述第一桥接部件、所述第二桥接部件和所述第三桥接部件电耦合到所述第二管芯。
12.根据权利要求11所述的微电子组件,其中,所述第一桥接部件包括位于所述第一表面处的第一导电接触部以及位于所述第二表面处的第二导电接触部,并且其中,所述第一导电接触部的间距与所述第二导电接触部的间距相同。
13.根据权利要求11所述的微电子组件,其中,所述第一桥接部件包括位于所述第一表面处的第一导电接触部以及位于所述第二表面处的第二导电接触部,并且其中,所述第一导电接触部的间距大于所述第二导电接触部的间距。
14.根据权利要求11所述的微电子组件,其中,所述第二管芯包括位于所述表面处的具有介于10微米与50微米之间的间距的导电接触部,并且其中,所述封装基板包括位于所述第二表面处的具有介于40微米与130微米之间的间距的导电接触部。
15.根据权利要求11所述的微电子组件,其中,所述第一桥接部件是有源部件。
16.根据权利要求11所述的微电子组件,其中,所述第一桥接部件是无源部件。
17.根据权利要求11所述的微电子组件,其中,所述第二桥接部件是无源部件。
18.一种制造微电子组件的方法,包括:
形成微电子子组件,其中,形成所述微电子子组件包括:
将第一桥接部件放置在第一层中,其中,所述第一桥接部件包括第一表面和相对的第二表面;
将管芯放置在第二层中,其中,所述第二层位于所述第一层上;以及
将所述管芯电耦合到所述第一桥接部件的所述第二表面;
形成具有第二桥接部件的封装基板,其中,所述第二桥接部件嵌入在所述封装基板中;
在位于所述微电子子组件中的所述第一桥接部件与位于所述封装基板中的所述第二桥接部件之间形成第一互连;以及
在微电子部件与位于所述封装基板中的所述第二桥接部件之间形成第二互连,其中,所述微电子部件经由所述第一桥接部件和所述第二桥接部件电耦合到所述管芯。
19.根据权利要求18所述的方法,其中,所述微电子部件还包括第三桥接部件,并且其中,形成第二互连还包括:将所述第三桥接部件电耦合到所述第二桥接部件。
20.根据权利要求18所述的方法,其中,所述微电子子组件还包括位于所述第一层中的导电柱,并且所述导电柱电耦合到所述管芯。
CN202280045182.7A 2021-09-23 2022-08-22 包括桥接器的微电子组件 Pending CN117616564A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/482,681 US20230086691A1 (en) 2021-09-23 2021-09-23 Microelectronic assemblies including bridges
US17/482,681 2021-09-23
PCT/US2022/041121 WO2023048868A1 (en) 2021-09-23 2022-08-22 Microelectronic assemblies including bridges

Publications (1)

Publication Number Publication Date
CN117616564A true CN117616564A (zh) 2024-02-27

Family

ID=85573698

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202280045182.7A Pending CN117616564A (zh) 2021-09-23 2022-08-22 包括桥接器的微电子组件

Country Status (4)

Country Link
US (1) US20230086691A1 (zh)
CN (1) CN117616564A (zh)
TW (1) TW202329391A (zh)
WO (1) WO2023048868A1 (zh)

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI758320B (zh) * 2016-12-16 2022-03-21 南韓商三星電子股份有限公司 半導體封裝
US11430740B2 (en) * 2017-03-29 2022-08-30 Intel Corporation Microelectronic device with embedded die substrate on interposer
US11984439B2 (en) * 2018-09-14 2024-05-14 Intel Corporation Microelectronic assemblies
US11456281B2 (en) * 2018-09-29 2022-09-27 Intel Corporation Architecture and processes to enable high capacity memory packages through memory die stacking
KR20200102883A (ko) * 2019-02-22 2020-09-01 에스케이하이닉스 주식회사 브리지 다이를 포함한 시스템 인 패키지

Also Published As

Publication number Publication date
WO2023048868A1 (en) 2023-03-30
TW202329391A (zh) 2023-07-16
US20230086691A1 (en) 2023-03-23

Similar Documents

Publication Publication Date Title
JP7282794B2 (ja) 小型電子アセンブリ
JP7458969B2 (ja) モールド貫通ビアを有する成形領域を有するマイクロ電子コンポーネント
CN112086447A (zh) 无衬底双面嵌入式多管芯互连桥
CN111886690A (zh) 具有插入物的微电子组件
US11557579B2 (en) Microelectronic assemblies having an integrated capacitor
US11508587B2 (en) Microelectronic assemblies
TW202249230A (zh) 具有玻璃基體及磁性核心電感器之微電子總成
CN117561599A (zh) 具有背侧管芯到封装互连的微电子组件
US11688692B2 (en) Embedded multi-die interconnect bridge having a substrate with conductive pathways and a molded material region with through-mold vias
EP4152366A2 (en) Microelectronic assemblies including solder and non-solder interconnects
CN115458502A (zh) 具有集成薄膜电容器的微电子组件
TW202301591A (zh) 具有頂側電力輸送結構之微電子總成
CN117616564A (zh) 包括桥接器的微电子组件
US20230197679A1 (en) Microelectronic assemblies including interconnects with different solder materials
US20230420413A1 (en) Microelectronic assemblies including solder and non-solder interconnects
US20230082706A1 (en) Microelectronic assemblies with direct attach to circuit boards
US20230197661A1 (en) Microelectronic assemblies with silicon nitride multilayer
US20240096809A1 (en) Microelectronic assemblies with mixed copper and solder interconnects having different thicknesses
US20230197543A1 (en) Microelectronic assemblies with adaptive multi-layer encapsulation materials
US20230087367A1 (en) Microelectronic assemblies with through die attach film connections
EP4333053A2 (en) Microelectronic assemblies having power delivery routed through a bridge die
US20240105655A1 (en) Microelectronic assemblies having a bridge die with a lined-interconnect
US20230187386A1 (en) Microelectronic assemblies with glass substrates and planar inductors
CN114695306A (zh) 具有直接接合的微电子组件中的牺牲再分布层

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication