CN1149642C - 在半导体基片上形成带图案导电层的方法和半导体器件 - Google Patents

在半导体基片上形成带图案导电层的方法和半导体器件 Download PDF

Info

Publication number
CN1149642C
CN1149642C CNB991106415A CN99110641A CN1149642C CN 1149642 C CN1149642 C CN 1149642C CN B991106415 A CNB991106415 A CN B991106415A CN 99110641 A CN99110641 A CN 99110641A CN 1149642 C CN1149642 C CN 1149642C
Authority
CN
China
Prior art keywords
layer
silicon oxynitride
fsg film
fsg
conductive layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB991106415A
Other languages
English (en)
Other versions
CN1242595A (zh
Inventor
G��Y����
G·Y·李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Siemens AG
Original Assignee
Siemens AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Siemens AG filed Critical Siemens AG
Publication of CN1242595A publication Critical patent/CN1242595A/zh
Application granted granted Critical
Publication of CN1149642C publication Critical patent/CN1149642C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3145Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers formed by deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31629Deposition of halogen doped silicon oxide, e.g. fluorine doped silicon oxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3192Multilayer coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供半导体器件和在基片上形成构成图案的导电层的方法,以便防止氟物质从其上的氟硅玻璃层外流到上覆的光致抗蚀剂层,同时在光刻时抑制光波返回光致抗蚀剂层。在基片上依次涂敷导电层,介质衬垫,FSG层,防止氟物质穿过其中从FSG层外流并形成防反射涂层的氧氮化硅层,和光致抗蚀剂层。将后者曝光和显影以露出下伏的氧氮化硅层的图案部分。用单一蚀刻步骤去除暴露的氧氮化硅ARC层和FSG层及介质衬垫的相应的下伏部分以露出供金属化的导电层的图案部分。

Description

在半导体基片上形成带图案 导电层的方法和半导体器件
本发明涉及半导体器件,它包括金属间介质半导体制造中氟硅玻璃(FSG)薄膜的氧氮化硅盖层,更详细地说,涉及半导体基片上用氧氮化硅盖层覆盖的FSG薄膜的稳定的组合结构,以及涉及半导体器件制造方法,它包括在半导体基片上形成构成图案的导电层,同时,把FSG薄膜与氧氮化硅盖层组合,用作金属间介质。
当在例如硅的晶片基片上制造微电子半导体器件以形成集成电路时,按照选择的顺序在其上淀积各种金属层和绝缘层。所述绝缘层,例如,二氧化硅、氧氮化硅(SiOxNy)、也称为氟氧化硅的氟硅玻璃(FSG)、旋涂玻璃(SOG)等等,视情况而定,作为例如金属间介质(IMD)层的金属层之间的电绝缘、作为间隙填充层以实现晶片基片的平面化(层平面度)等等。通过诸如等离子体增强型化学汽相淀积(PECVD)、大气压力CVD等等传统的技术来淀积所述各个层。
通常,例如,设置在包含各种器件的基片上的第一层金属层通过一层或者多层绝缘层与其上的第二层金属层隔离。第二层金属层又可以通过一层或者多层其它绝缘层与其上的第三层金属层隔离,等等。这些金属层通过蚀刻在插入的各绝缘层中的金属化的通孔、小孔和空隙内连。
为此,重叠的各层经历光刻处理、以便在其上形成与集成电路(IC)一致的图案,例如形成通孔。用光致反应的聚合材料的光致抗蚀剂层覆盖晶片基片的顶层,以便借助于掩模形成图案。诸如可见光或者紫外光(UV)的光线通过掩模照射在光致抗蚀剂层上,以便按照掩模图案将它曝光。光致抗蚀剂层的聚合材料对光线是透明的、也是光致反应的,从而改变其化学性质,即,通过光激发反应,使得有可能将其构成图案。
通常,在晶片基片的顶部形成诸如有机防反射涂层的防反射涂层(ARC),例如,诸如聚酰亚胺的光吸收聚合物的防反射涂层,以便将返回光致抗蚀剂层的反射光减至最少,从而进行更均匀的处理。
所述光致抗蚀剂可以是负的或者正的类型。在负的光致抗蚀剂中,曝光的(聚合的)区域变成不溶解的,而未曝光的(未聚合的)区域溶解在后来加入的显影液中。在正光致抗蚀剂中,曝光的(降解的)可溶区域溶解在显影液中,而未曝光的(不溶解)的区域不变。在这两种情况下,保留在晶片基片上的光致抗蚀剂材料形成图案,以便在随后的蚀刻有关的各层时起掩模的作用。
在层的材料具有与相邻层的不同的物理和化学特性的地方,其蚀刻工艺也与相邻层的不同,例如,在各介质层中形成连接相邻层次的金属层的通孔时。所述蚀刻最好是与各向同性(在所有方向上同等地蚀刻暴露的表面)不同的的各向异性的(高速率的垂直方向蚀刻和低速率或者禁止的水平方向蚀刻),以便提供具有均匀的垂直壁几何形状或外形的蚀刻结构。可以根据待蚀刻的材料的物理和化学性质以及邻近的材料的物理和化学性质,通过湿蚀刻(溶解)或者干蚀刻(等离子体蚀刻或反应离子蚀刻)来实现蚀刻工艺。
为了使晶片基片上可利用区域中器件元件的集成度到达最大值,以便在同一区域中装入更多的元件,必须增进小型化。由于需要更加窄的金属线路和更加密的间隔尺寸以便实现元件的日益提高的密集封装,在所包含的微小公差下,它们变成更加容易损坏。当IC小型化增进到低于四分之一微米(0.25微米,即250纳米(nm)或2,500埃)的目前所谓超大规模集成电路(VLSI)时,这已经变得明显了。
比较起来,可见光具有400-700纳米(4000-7000埃)的波长谱,而紫外光具有100-400纳米(1000-4000埃)的波长谱。一般说来,中紫外(MUV)光具有大约365纳米波长,而远紫外(DUV)光具有大约248纳米或更短的波长。
在低于四分之一微米的尺寸下,所需要的与形成小孔或窗口的光刻相联系的高纵横比(深度对宽度)、精细的导电线路等等需要非常严格的公差,以防止不希望有的损坏,诸如密集地隔开的元件的可能引起短路的接触等等。
当来自辐射源的、把掩模做成图案的入射光穿过光致抗蚀剂层的光致反应聚合材料时,随着它在暴露的图案区域中引起光激发反应,它逐渐地被吸收。当到达ARC层的某些入射光线未被吸收,而是被反射和散射回到光致抗蚀剂层中时,就产生对入射光的干扰并且形成驻波。
与光致反应聚合材料不相容的污染物可能从ARC层或者其它邻近层迁移到光致抗蚀剂层中。这些污染物会使光致抗蚀剂层中毒,例如,与它进行干扰反应,由于与聚合材料的过量的化学互作用而在其中导致反应的不均匀性。这通常称为光致抗蚀剂中毒。
这些影响在使用正光致抗蚀剂的场合导致形成光致抗蚀剂底脚,而在使用负光致抗蚀剂的场合导致形成光致抗蚀剂收聚。具体地说,在显影时,光致抗蚀剂层的曝光的图案区域具有带不均匀(非垂直的)侧壁的光致抗蚀剂外形或结构。蚀刻之后,光致抗蚀剂底脚和光致抗蚀剂收聚问题导致光致抗蚀剂图案向下面一层或多层的不理想的转移,最终,由于与所需要的IC设计相比,蚀刻的结构不精确,从而限制了最小空间分辨率。
FSG(氟硅玻璃)是在半微米(0.5微米)以下半导体制造技术中用于金属间介质(IMD)应用场合的合适的弱介电材料之一,所述半微米(0.5微米)以下半导体制造技术与所谓线路运行(金属化和销售之间)后端之前的金属化技术相联系。为了淀积FSG,通常或者使用高密度等离子体(HDP)化学汽相淀积(CVD),或者使用等离子体增强化学汽相淀积(PECVD)。
随FSG薄膜中氟的浓度和用于淀积FSG薄膜的产物母体而定,可以实现的FSG的介电常数是3.3。较高的氟浓度通常提供较低的介电常数。但是,较高的氟浓度使薄膜不稳定,这是因为自由的氟往往的薄膜中扩散或者迁移到集成电路中相邻的层。
氟物质(包括氟本身和伴随的氟与其它污染产物母体成分的自生成污染化合物)从FSG向外扩散会产生聚集在与金属层的交界面的气泡,导致由腐蚀或中毒引起的金属剥落问题等等。在许多场合下,FSG的使用是与后等离子体处理相结合的,例如使用氧和氮或者一氧化二氮(N2O)的等离子体处理,或者包含二氧化硅盖层,以便把氟物质向外扩散减至最小。但是,包括氟本身的氟物质将深入地扩散到二氧化硅薄膜中,其扩散长度(渗透深度)可能超过几千埃。
虽然目前还不完全清楚,但是,人们相信,在基于二氧化硅的层的随后的淀积中,例如在存在氧、氮、一氧化二氮等等的情况下利用硅烷(SiH4)、借助PECVD技术的淀积中,诸如氢和氮的污染物仍然作为产物母体成分。在存在氟的情况下,人们认为,和附带的氢和/或氮和/或仍然作为污染的产物母体成分的其它物质一起形成其自生成的活性污染化合物。人们相信,氟和这种自生成的活性污染化合物向外扩散而聚集在邻近的金属层交界面,从而产生所述腐蚀、中毒金属剥落问题。
在说明书和权利要求书中所用的术语“氟物质”考虑的是既包含自由的氟本身又包含附带的、其与其它污染的产物母体成分、诸如氢和氮的自生成活性污染化合物(即,含氟的污染化合物)的含氟物质。
利用FSG作为IMD应用场合的弱介电材料的典型的半导体制造技术包括以下步骤:
(1)晶片基片上金属层的淀积和图案形成;
(2)所述金属层上二氧化硅衬垫的淀积;
(3)所述二氧化硅衬垫上FSG层的淀积;
(4)所述FSG层上二氧化硅盖层的淀积;
(5)所述二氧化硅盖层的化学机械抛光(CMP);
(6)在所述抛光后的二氧化硅盖层上的后CPM附加二氧化硅盖层淀积(或者后等离子体处理);
(7)在所述附加的二氧化硅盖层(或者等离子体处理层)上的有机ARC层淀积;
(8)在所述有机ARC层上的光致抗蚀剂层淀积以及光刻曝光和显影;
(9)有机ARC层开孔蚀刻;以及
(10)介质层接触蚀刻。
最好在半导体器件中具有这样的作为IMD的FSG结构,其中避免了氟物质的向外扩散,以便避免金属剥落问题,如果在基本上不增加制造成本的情况下做到这一点则更好。
根据本发明,通过在半导体器件中提供用于金属中间介质(IMD)应用场合的、与氧氮化硅(SiOxNy)盖层结合的氟硅玻璃(FSG)薄膜(层)系统来消除上述缺点。氧氮化硅盖层与FSG层的结合提高了FSG层的稳定性,同时为接触光刻处理提供均匀的反射控制。
根据本发明的一个方面,提供一种包括基片的半导体器件,所述基片具有例如大约1000-10000埃厚度的FSG层和在它上面的大约100-1000埃厚度的氧氮化硅盖层的组合。所述氧氮化硅层是以对所述FSG层的叠加覆盖的关系、以足以防止氟物质穿过其中从所述FSG层外流并且足以形成防反射涂层的形式设置的。以对所述氧氮化硅盖层的上覆的关系设置例如金属的、诸如铝、钨或铜的导电层。相对于下伏的FSG层和上覆的导电层,以足以防止氟物质穿过其中从FSG层外流到导电层的方式配置所述氧氮化硅盖层。
更详细地说,所述半导体器件包含基片,后者具有:在它上面的、例如,诸如铝、钨或铜的金属的构成图案的导电层;设置在所述构成图案的导电层上的介质衬层,例如,氧氮化硅的IMD衬垫;以及设置在所述介质衬垫上的FSG层和氧氮化硅盖层的组合,所述氧氮化硅盖层设置在所述FSG层的上面。在所述氧氮化硅盖层的上面设置与所述构成图案的导电层导电接触的、例如,诸如铝、钨或铜的金属的另一个导电层。在该组合中,相对于下面的FSG层和上面的另一个导电层,以足以防止氟物质穿过其中从FSG层外流到另一个导电层的方式配置所述氧氮化硅盖层。
根据本发明的另一个方面,提供一种在半导体基片上形成构成图案的导电层的方法。所述方法包括:在半导体基片的表面上形成例如铝、钨或铜的导电层;在所述导电层上形成例如二氧化硅的IMD衬垫的介质衬垫;在所述介质衬垫上形成FSG层;以及在所述FSG层的上面、以足以防止氟物质从该FSG层穿过其中外流并且足以形成防反射涂层(ARC)的形式形成氧氮化硅盖层。在所述氧氮化硅层上形成光致抗蚀剂层。对所述光致抗蚀剂层进行选择性的曝光和显影,以便露出下面的氧氮化硅层的选择性的图案部分。例如,为了金属化,去除所述氧氮化硅层的所述图案部分以及所述FSG和介质衬垫的相应的下面部分,以便暴露所述导电层的图案部分。
最好在诸如干式蚀刻步骤的蚀刻步骤中,例如,按照RIE(反应离子蚀刻)、在利用CF4(四氟化碳)和氩气的单一干式蚀刻步骤中通过接触蚀刻把氧氮化硅层的露出的图案部分以及FSG层和介质衬垫的相应的下面部分都一起去除。
为了隙缝填充、隔离和平面化的目的,最好在FSG层和氧氮化硅层之间形成厚度达20,000埃的介质盖层。最好在在其上形成氧氮化硅层之前,例如通过传统的化学机械抛光(CMP)对所述介质盖层进行抛光。通常,抛光后的介质盖层具有大约0-20,000埃的最后厚度。在所述蚀刻步骤中将所述氧氮化硅层的露出的图案部分和所述介质盖层、FSG层及介质衬垫的相应的下面部分一起全部去除是有利的。
通常,形成厚度为大约1,000-10,000埃的导电层;形成厚度为大约100-2,000埃的介质衬垫;形成厚度为大约1,000-10,000埃的FSG层;以及形成厚度为大约100-2,000埃的氧氮化硅层。
详细地说,所述氧氮化硅包括SiO0.5-1.5N0.1-1.5
所述光致抗蚀剂层可以在波长为大约193-633纳米的光辐射(紫外和可见光)下曝光。在所述波长下,所述氧氮化硅层通常具有大约1.60-3.6的折射率以及大约0.01-2.0的消光系数。
根据最佳实施例,所述方法包括以下步骤:在半导体基片的表面上形成导电的金属层;在所述导电层上形成介质二氧化硅衬垫;在所述衬垫上形成FSG层;在所述FSG层上形成介质二氧化硅盖层;以及在所述介质盖层上并按照覆盖所述FSG层的方式、以足以防止氟物质从FSG层穿过其中外流并且足以形成ARC的形式形成氧氮化硅层。在所述氧氮化硅层上形成光致抗蚀剂层。进一步的步骤包括:对所述光致抗蚀剂层进行选择性的曝光和显影,以便露出下面的氧氮化硅层的选择性的图案部分;以及例如,为了金属化,以集中的方式,在单一蚀刻步骤中,将所述氧氮化硅层的所述图案部分和所述介质覆盖层、FSG层及介质衬垫的相应的下面部分一起去除,以便暴露所述导电层的图案部分。
详细地说,在在其上形成氧氮化硅层之前,通过CMP步骤,对介质盖层进行抛光。
根据本发明的最佳特征,提供一种在半导体制造中处理晶片基片的方法,用来防止氟物质从其上的FSG层外流到上覆的光致抗蚀剂层,同时用来在光致抗蚀剂层的光刻处理过程中抑制光波反射回到光致抗蚀剂层中,以便使光致抗蚀剂层的构成图案的曝光和显影的均匀度达到最大值。所述方法包括以足以形成用来防止所述氟物质外流的阻挡层、并且足以以对光致抗蚀剂层的下覆的关系形成用来抑制所述光波反射的介质ARC的方式在FSG层和光致抗蚀剂层之间插入氧氮化硅层。
本发明还考虑由此生产的产品。
从以下的详细描述,结合附图和权利要求书,将更加容易理解本发明。
图1A至1G是一系列纵向剖面图,它们举例说明根据先有技术在半导体基片上形成构成图案的导电层的各个阶段;以及
图2A至2F是一系列类似的纵向剖面图,它们举例说明根据本发明的实施例在半导体基片上形成构成图案的导电层的各个阶段;以及
图3是图2A至2F的半导体基片金属化之后的类似的纵向剖面图。
应当指出,所述附图不是按比例的,所显示的一些部分被放大了,使得所述附图更容易被理解。
现在参考图1A至1G,图中示出先有技术半导体器件或微型芯片20的各个制造阶段,微型芯片20以多样的形式具有:晶片基片21,金属导电层22,二氧化硅金属间介质衬垫23,介质FSG层24,FSG层抛光顶面24b,二氧化硅介质盖层25,二氧化硅介质盖层粗糙的顶面25a和抛光的顶面25b,附加的二氧化硅介质盖层26,有机ARC层27,例如正光致抗蚀剂材料的光致抗蚀剂层28,接触孔(小孔)29,加深和加宽的接触孔29a以及通孔(小孔)30。
图1A至1G举例说明利用FSG作为IMD材料在半导体基片上形成构成图案的导电层的典型的先有技术方法的十步骤序列。
图1A示出一种半导体器件或者微型芯片20,它具有晶片基片21,已经借助已知的PECVD技术顺序地在该晶片基片上淀积了:金属导电层22;用于金属层22的二氧化硅金属间介质衬垫23;作为用来把相邻的金属化层隔开的弱介电材料的FSG层24;以及用于介质FSG层24作为外形敷形覆盖和隔离材料的二氧化硅介质盖层25,用来逐步地填充不平整度和空隙,整平FSG层24的表面,并且部分地减少氟物质从FSG层24向外扩散(包括氟本身的向外扩散)。这时,起平面化层作用的二氧化硅盖层25具有粗糙的顶面25a。这是如下各步骤之后半导体器件20的状态:(1)在基片21上淀积金属层22并将它构成图案;(2)在金属层22上淀积二氧化硅衬垫23;(3)在二氧化硅衬垫23上淀积FSG层24;以及(4)FSG层24上淀积二氧化硅盖层25。
图1B示出以下情况下的半导体器件20:为了平面化的目的,去除二氧化硅盖层25的粗糙顶面25a和上层的一部分,以便在其上形成与FSG层24的、伴随地备有抛光的顶面24b的相邻的顶层齐平的抛光的顶面25b。这是如下步骤之后半导体器件20的状态:(5)二氧化硅盖层25的化学机械抛光(CMP)以及伴随的FSG层24的化学机械抛光(CMP)。
图1C示出具有在二氧化硅盖层25的相应的抛光的顶面25b上以及伴随地在FSG层24的抛光的顶面24b上的附加的后CMP二氧化硅介质盖层26的半导体器件20。这是如下步骤之后半导体器件20的状态:(6)在抛光的二氧化硅盖层25上以及伴随地在抛光的ARC层24上淀积后CPM附加二氧化硅盖层26。
图1D示出正好在光刻曝光之前的、具有在附加的二氧化硅盖层26上面的有机ARC层27以及在有机ARC层27上面的例如正光致抗蚀剂材料的光致抗蚀剂层28的半导体器件20。这是在步骤(7)在附加的后CMP二氧化硅盖层26上淀积有机ARC层27以及步骤(8)的第一部分、即在有机ARC层27上淀积光致抗蚀剂层28之后的半导体器件20的状态。
图1E示出在光致抗蚀剂层28借助构成图案的掩模(未示出)的光刻曝光和随后的按照已知方法的显影之后的半导体器件20。这是在步骤(8)的剩余部分,即光刻曝光和显影之后的半导体器件20的状态。
图1F示出首次蚀刻步骤之后的半导体器件20,蚀刻步骤中,有机ARC层27被开孔蚀刻,以形成接触孔29a。这是半导体器件20在有机ARC层27的开孔蚀刻步骤(9)之后的状态。
最后,图1G示出在第二次蚀刻步骤之后的半导体器件20。在第二次蚀刻步骤中,对附加的二氧化硅盖层26、二氧化硅25(如果有的话)、FSG层24以及二氧化硅衬垫23的邻近部分进行接触蚀刻,以便形成用来暴露出金属层22的、用于金属化和其它处理的通孔(小孔)30。这是在步骤(10)对邻近的介质层26、25(如果有的话)、24和23进行接触蚀刻之后的半导体器件20的状态。
利用传统技术进行以下工艺步骤:在晶片基片21上淀积金属层22、二氧化硅衬垫23、FSG层24和二氧化硅盖层25,然后对二氧化硅盖层25和FSG层24进行抛光处理,以及进一步淀积附加的二氧化硅盖层26、有机ARC层27和光致抗蚀剂层28。还利用传统技术进行以下工艺步骤:对光致抗蚀剂层28进行光刻曝光和显影;对有机ARC层27进行蚀刻;以及对附加的二氧化硅盖层26、二氧化硅盖层25(如果有的话)、FSG层24和二氧化硅衬垫23进行蚀刻,以便暴露金属层22。
除了用于防止氟物质从FSG层向外扩散的附加的二氧化硅盖层26和二氧化硅盖层25的不协调之外,必须设置作为分开的附加层的有机ARC层27,并且,上述十步先有技术方法需要两个分开的蚀刻步骤。这是因为不能用蚀刻基于二氧化硅的介质层26、25(存在时)、24和23所需要的接触蚀刻来蚀刻有机ARC层27。反之,不能用蚀刻有机ARC层27所需要的开孔蚀刻来蚀刻基于二氧化硅的介质层26、25(存在时)、24和23。正如下面所表明的,本发明的系统克服了这些先有技术缺点。
根据本发明,用于在半导体基片上形成构成图案的导电层的方法考虑以下的类似的八个步骤:
[1]在半导体基片的表面上形成金属导电层(金属淀积和图案形成);
[2]在所述金属导电层上形成二氧化硅介质衬垫;
[3]在所述二氧化硅介质衬垫上形成FSG层(氟硅玻璃);
[4]在所述FSG层上任选地形成二氧化硅介质盖层;
[5]对所述二氧化硅介质盖层、并且伴随着对所述FSG层的所述相邻的部分进行化学机械抛光;
[6]在所述抛光的二氧化硅盖层上,并且,伴随地在抛光的FSG层上,形成足以防止氟物质从所述FSG层穿过其中外流并且足以形成ARC(防反射涂层)的后CMP氧氮化硅盖层;
[7]在所述氧氮化硅层上形成光致抗蚀剂层,并且对所述光致抗蚀剂层进行选择性的光刻曝光和显影,以便露出所述下伏的氧氮化硅ARC层的选择性的图案部分;以及
[8]例如,通过在单一的干式蚀刻步骤中的接触蚀刻,去除所述氧氮化硅ARC层的露出的图案部分以及所述二氧化硅盖层(存在时)、所述FSG层和二氧化硅介质衬垫的相应的部分,以便暴露所述金属导电层的图案部分。
下面参考图2A至2F,图中示出根据本发明的实施例的半导体器件或微型芯片40的各制造阶段,所述半导体器件或微型芯片40以多样的形式具有:例如硅的晶片基片21’,例如金属的层导电层22’,例如二氧化硅的IMD(金属间介质)衬垫23’,介质FSG(氟硅玻璃)层24’,FSG层抛光顶面24b’,二氧化硅层盖层25’,二氧化硅盖层粗糙顶面25a’和抛光顶面25b’,介质氧氮化硅ARC盖层41,例如正光致抗蚀剂材料的光致抗蚀剂层42,接触孔(小孔)43,以及通孔(小孔)44。
在图2A至2F中,用加撇号(’)的标号表示的元件是与图1A至1G中的相同的元件。
图2A至2F举例说明用于利用FSG作为IMD材料在半导体基片上形成构成图案的导电层的方法的八步骤系列。
图2A示出一种半导体器件或者微型芯片40,它具有晶片基片21’,已经借助已知的PECVD技术顺序地在该晶片基片上淀积了:例如金属的导电层22’;用于金属层22’的例如二氧化硅的IMD(金属间介质)衬垫23’;作为用来把相邻的各金属化层隔开的弱介电材料的FSG层24’;以及用于介质FSG层24’作为外形敷形覆盖和隔离材料的二氧化硅盖层25’,用来逐步地填充不平整度和空隙,整平FSG层24’的表面,并且部分地减少氟物质从FSG层24’向外扩散。这时,起平面化层作用的二氧化硅盖层25’具有粗糙的顶面25a’。这是如下各步骤之后半导体器件40的状态:[1]在基片21上淀积金属层22并将它构成图案;[2]在金属层22上淀积二氧化硅衬垫23;[3]在二氧化硅衬垫23上淀积FSG层24;以及[4]在FSG层24上淀积起填充空隙和平面化作用的二氧化硅盖层25。
图2B示出以下情况下的半导体器件40:为了平面化的目的,去除二氧化硅盖层25’的粗糙顶面25a’和上层的一部分,以便在其上形成与伴随地备有抛光的顶面24b的FSG层24’的相邻的顶层齐平的抛光的顶面25b。这是如下步骤之后半导体器件40的状态:[5]对二氧化硅盖层25’,并且,伴随地对FSG层24’进行化学机械抛光(CMP)。
图2C示出具有在二氧化硅盖层25’的相应的抛光的顶面25b’上,并且,伴随地在FSG层24’的抛光的顶面24b’上的后CMP氧氮化硅ARC盖层41的半导体器件40,并且,所述后CMP氧氮化硅ARC盖层41足以(即,在厚度和密度上)防止氟物质从FSG层24’穿过其中外流和用于形成ARC。这是如下步骤之后半导体器件40的状态:[6]在抛光的二氧化硅盖层25’上以及伴随地在抛光的FSG层24’上淀积后CPM氧氮化硅ARC盖层41。
图2D示出正好在光刻曝光之前的、具有在氧氮化硅ARC盖层41上面的例如正光致抗蚀剂材料的光致抗蚀剂层42的半导体器件40。这是在步骤[7]的第一部分、即在氧氮化硅ARC盖层41上淀积光致抗蚀剂层42之后的半导体器件40的状态。
图2E示出在光致抗蚀剂层42借助构成图案的掩模(未示出)的光刻曝光和随后的按照已知方法的显影、以便形成接触孔(小孔)43之后的半导体器件40。这是在步骤[7]的剩余部分、即进行选择性的光刻曝光和显影,以便露出下伏的氧氮化硅ARC层41的选择性的图案部分。
最后,图2F示出在单一次蚀刻步骤之后的半导体器件40,在该蚀刻步骤中,对氧氮化硅ARC层41、二氧化硅盖层25’(如果有的话)、FSG层24’以及二氧化硅衬垫23’的邻近部分进行接触蚀刻,以便形成用来暴露出金属层22’的、用于金属化和其它处理的通孔(小孔)44。这是在如下步骤之后半导体器件40的状态:[8]对邻近的介质层41、25’(如果有的话)、24’和23’进行接触蚀刻,以便去除所述氧氮化硅ARC层41的露出的图案部分以及所述二氧化硅盖层25’(存在时)、FSG层24’和二氧化硅介质衬垫23’的相应的部分,以便暴露金属层22的图案部分。可以,例如按照RIE(反应离子蚀刻)、通过利用CF4(四氟化碳)和氩气的单一干式蚀刻步骤的接触蚀刻来实现以上步骤。
利用传统技术进行以下工艺步骤:在晶片基片21’上淀积金属层22’、二氧化硅衬垫23’、FSG层24’和二氧化硅盖层25’,然后对二氧化硅盖层25’和FSG层24’的伴随部分进行抛光处理,以及进一步淀积氧氮化硅ARC层41和有机光致抗蚀剂层42。还利用传统技术进行以下工艺步骤:对光致抗蚀剂层42进行光刻曝光和显影,然后,对介质氧氮化硅ARC层41、二氧化硅盖层25’(存在时)、FSG层24’和二氧化硅衬垫23’集中地进行蚀刻,以便暴露金属层22’。
详细地说,通常可以通过PECVD技术来形成金属层22’、二氧化硅衬垫23’、FSG层24’、二氧化硅盖层25’和氧氮化硅ARC层41。还可以通过HDP型CVD来形成FSG层24’。
最好形成厚度为大约1000-10000埃的金属层22’;形成厚度为大约100-2000埃的介质衬垫23’;形成厚度为大约1000-10000埃的FSG层24’;形成厚度最大为大约20000埃(即,大约0-20000埃)的二氧化硅盖层25’;以及形成厚度为大约100-2000埃的氧氮化硅层41。
光致抗蚀剂层42例如在大约193-633纳米波长的紫外或可见光的光辐射下曝光。在所述波长下,氧氮化硅层ARC层42最好具有大约1.60-3.6(实部)的折射率以及大约0.01-2.0(虚部)的消光系数。
下面将指出,由于与FSG相比氧氮化硅层具有较大的密度,所以,可以在FSG层24’上形成适当厚度的氧氮化硅ARC层41,以便抑制氟物质(包括氟本身以及其与其它污染产物母体成分形成的附带的自生成污染化合物)从FSG层24’向外扩散到与诸如在随后的金属化操作期间涂敷的各相邻的金属层。这将保证防止由于氟及其污染化合物集中、从FSG层24’向外扩散到相邻的金属界面并在那里起腐蚀或者中毒剂作用引起的金属层剥落。
这样,与二氧化硅相比,甚至在用于仅仅大约100-2000埃的层厚度时,氧氮化硅ARC层也能通过提供防止氟物质从下伏的FSG层外流的更好的防扩散阻挡层而提高了用于IMD用途的FSG层的稳定性。这是由于氧氮化硅是一种比FSG致密得多的材料这一事实。这有效地防止了由先有技术中遇到的氟物质泄漏产生的金属剥落问题、
它还固有地避免这种从中流出的氟物质到达光致抗蚀剂层并且使该层中毒。
此外,由于这里考虑的氧氮化硅吸收例如193-633纳米的所有有关波长的光线,在193-633纳米波长下具有1.6-3.6的折射率(实部)和0.01-2.0的消光系数(虚部),所以,它起一种用于光致抗蚀剂层42的有效的就地的ARC的作用。具体地说,它创立一种在高的高宽比(深度对宽度之比)下对IC设计图案区域的完全的和均匀的光刻曝光和显影,以便不产生光致抗蚀剂底脚和光致抗蚀剂收聚问题,即,在所述蚀刻区域中产生基本上直的垂直壁。
这样,氧氮化硅ARC层还同时实现对接触光刻处理的均匀的反射控制,因为,对于中紫外(MUV)(通常具有大约365纳米波长)和远紫外(DUV)(通常具有大约248纳米或更短的波长)光刻处理两者,它都是一种极好的防反射材料。
可以调节氧氮化硅成分,以便将其防反射特性最佳化,方法是:例如,按照利用硅烷(SiH4)与一氧化二氮(N2O)或者氧和氮的PECVD,适当地改变SiOxNy成分的组成比例,这里,X是0.5-1.5,而Y是0.1-1.5,即SiO0.5-1.5N0.1-1.5。更详细地说,氧氮化硅层包括SiO.5-1.5N0.1-1.5以及包含非晶硅相、氧化硅相和氮化硅相三相的防反射材料。
详细地说,晶片基片21’可以由硅制成,或者由诸如金属等其它材料制成。金属层22’通常由铝、钨或铜制成。二氧化硅衬垫23’作为金属层22’和FSG层24’之间的绝缘阻挡层。以普通的间隔材料的形式形成二氧化硅层25’,以便在诸如通过CMP对FSG层进行平面化抛光以提高FSG层24’的平面度之前提供厚度。这些材料是耐熔的,足以经受得住随后的在至少大约420℃的高温下进行的金属化和进一步处理。
如上所述,十步骤先有技术方法有以下缺点:利用附加的二氧化硅盖层26不足以抑制氟物质从FSG层24向外扩散;需要附加的有机ARC层27;以及需要两个互不兼容的蚀刻步骤,一个用于有机ARC层27,而另一个用于基于二氧化硅的各介质层26、25(存在时)、24和23。
由于氧氮化硅ARC层41是与二氧化硅盖层25’、FSG层24’以及二氧化硅层23’的介质材料类似的介质材料,所以,可以把所有这些层集中地在例如通过利用干式蚀刻步骤的接触蚀刻的单一蚀刻步骤中蚀刻,以便露出金属层22’。这样,由于可以利用与二氧化硅介质蚀刻类似的化学方法来蚀刻作为这种ARC的氧氮化硅ARC层41,所以,可以省略形成传统的ARC层的先有技术方法,能够利用不考虑ARC开孔蚀刻处理的单一步骤的接触蚀刻处理。
在根据本发明的上述八步骤方法和随后的金属化之后,所述晶片基片可以经历金属化和销售之间剩余的线路步骤的后端。
例如,图3示出剥去光致抗蚀剂层42(图2F),在氧氮化硅ARC层41上淀积另一层绝缘层45和在另一个绝缘层45上蚀刻沟槽46的半导体器件40。这继之以金属化步骤,以便在沟槽46中淀积通过通孔44与(第一层)导电层22’导电接触的,例如铝、钨或铜的,层厚度为大约1000-10000埃的另一层(第二层)导电层47。所有这些步骤都是利用传统技术进行的。应当指出,在另一层绝缘层45中形成的沟槽46和沿着沟槽46延伸的导电层47共同地在垂直于图3的纸平面的方向上延伸。
这样,根据本发明,FSG层24’和氧氮化硅ARC层41的组合提供一种具有用来防止氟物质从FSG层24’向外扩散到另一导电层47(图3)的结构的半导体器件40。同时,如以上联系图2A至2F所一般地描述的那样,FSG层24’和氧氮化硅ARC层41的组合形成用于半导体器件40的有效的四分之一微米以下光刻处理的就地的ARC结构。
因此,可以指出,所述具体的实施例仅仅是本发明的一般原理的例证。可以提供与所述原理一致的各种各样的修改。

Claims (23)

1.一种半导体器件,它包括具有氟硅玻璃层与在它上面的氧氮化硅盖层的组合的基片,所述氧氮化硅盖层是以对所述氟硅玻璃层的叠加覆盖的关系、以足以防止氟物质从所述氟硅玻璃层穿过其中外流并且足以形成防反射涂层的形式设置在所述氟硅玻璃层的上面的。
2.权利要求1的半导体器件,其特征在于:包括以对所述氧氮化硅盖层的上覆关系设置的导电层,所述氧氮化硅层是以相对于所述下伏的氟硅玻璃层和所述上覆的导电层、以足以防止氟物质穿过其中从所述氟硅玻璃层外流到所述导电层的方式配置的。
3.权利要求2的半导体器件,其特征在于:所述导电层是由金属制成的。
4.权利要求1的半导体器件,其特征在于:所述氟硅玻璃层具有1,000-10,000埃的厚度,所述氧氮化硅层具有100-2,000埃厚度,以及所述氧氮化硅包括SiO0.5-1.5N0.1-1.5
5.一种包括基片的半导体器件,所述基片具有:
在它上面的构成图案的导电层;
设置在所述构成图案的导电层上面的介质衬垫;
设置在所述介质衬垫上的氟硅玻璃层和氧氮化硅盖层的组合,所述氧氮化硅盖层是以对所述氟硅玻璃层的叠加覆盖的关系设置的;以及
以对所述氧氮化硅盖层的上覆的关系设置与所述构成图案的导电层导电接触的另一个导电层;
相对于所述下伏的氟硅玻璃层和上覆的另一个导电层、以足以防止氟物质穿过其中从所述氟硅玻璃层外流到所述另一个导电层的方式配置所述氧氮化硅盖层。
6.权利要求5的半导体器件,其特征在于:所述构成图案的导电层和所述另一个导电层各自是由金属制成的。
7.权利要求5的半导体器件,其特征在于:所述氟硅玻璃层具有1,000-10,000埃的厚度,所述氧氮化硅层具有100-2,000埃厚度,以及所述氧氮化硅包括SiO0.5-1.5N0.1-1.5
8.一种在半导体基片上形成构成图案的导电层的方法,所述方法包括以下步骤:
在半导体基片的表面上形成导电层;
在所述导电层上形成介质衬垫;
在所述介质衬垫上形成氟硅玻璃层;
在所述氟硅玻璃层的上面、以足以防止氟物质穿过其中从所述氟硅玻璃层外流并且足以形成防反射涂层的形式形成氧氮化硅层;
在所述氧氮化硅层上形成光致抗蚀剂层;
对所述光致抗蚀剂层进行选择性的曝光和显影,以便露出下伏的所述氧氮化硅层的选择性的图案部分;以及
去除所述氧氮化硅层的所述露出的图案部分以及所述氟硅玻璃层和介质衬垫的相应的下伏部分,以便暴露所述导电层的图案部分。
9.权利要求8的方法,其特征在于:所述介质衬垫是由二氧化硅制成的。
10.权利要求8的方法,其特征在于:把所述氧氮化硅层的所述露出的图案部分以及所述氟硅玻璃层和所述介质衬垫的相应的下伏部分全部在一个蚀刻步骤中一起去除。
11.权利要求8的方法,其特征在于:包括在所述氟硅玻璃层和所述氧氮化硅层之间形成介质盖层。
12.权利要求11的方法,其特征在于:所述介质盖层是由二氧化硅制成的。
13.权利要求11的方法,其特征在于:形成厚度最多20,000埃的所述介质盖层。
14.权利要求11的方法,其特征在于:在其上形成所述氧氮化硅层之前对所述介质盖层进行抛光。
15.权利要求14的方法,其特征在于:通过化学机械抛光步骤对所述介质盖层进行抛光。
16.权利要求15的方法,其特征在于:所述抛光后的介质盖层具有0-2000埃的厚度。
17.权利要求11的方法,其特征在于:将所述氧氮化硅层的所述露出的图案部分和所述介质盖层、氟硅玻璃层及介质衬垫的相应的下伏部分全部在一个蚀刻步骤中一起去除。
18.权利要求8的方法,其特征在于:形成厚度为1,000-10,000埃的所述导电层;形成厚度为100-2000埃的所述介质衬垫;形成厚度为1,000-10,000埃的所述氟硅玻璃层;以及形成厚度为100-2,000埃的所述氧氮化硅层;并且,所述氧氮化硅包括SiO0.5-1.5N0.1-1.5
19.权利要求8的方法,其特征在于:所述光致抗蚀剂层在波长为193-633纳米的光辐射下曝光,并且,在所述波长下,所述氧氮化硅层通常具有1.60-3.6的折射率以及0.01-2.0的消光系数。
20.一种在半导体基片的表面上形成构成图案的导电金属层的方法,所述方法包括以下步骤:
在半导体基片的表面上形成导电的金属层;
在所述导电层上形成介质二氧化硅衬垫;
在所述介质衬垫上形成氟硅玻璃层;
在所述氟硅玻璃层上形成介质二氧化硅盖层;
在所述介质盖层上,并且以对所述氟硅玻璃层的上覆的关系、以足以防止氟物质从所述氟硅玻璃层穿过其中外流并且足以形成防反射涂层的形式形成氧氮化硅层;
在所述氧氮化硅层上形成光致抗蚀剂层;
对所述光致抗蚀剂层进行选择性的曝光和显影,以便露出下伏的氧氮化硅层的选择性的图案部分;以及
在单一蚀刻步骤中将所述氧氮化硅层的所述露出的图案部分和所述介质覆盖层、氟硅玻璃层及介质衬垫的相应的下伏部分去除,以便暴露所述导电层的图案部分。
21.权利要求20的方法,其特征在于:在介质盖层上形成所述氧氮化硅层之前,通过化学机械抛光步骤,对该介质盖层进行抛光。
22.一种在半导体制造中处理晶片基片的方法,所述方法包括:
在晶片基片上形成氟硅玻璃层;
在氟硅玻璃层上形成氧氮化硅层,从而形成足以用来防止所述氟物质外流的阻挡层;
在氧氮化硅层上形成光致抗蚀剂层;
其中所述氧氮化硅层形成用来防止所述氟物质外流的阻挡层并且形成用来抑制所述光波反射的介质防反射涂层,从而防止氟物质从其上的氟硅玻璃层外流到上覆的光致抗蚀剂层,同时用来在所述光致抗蚀剂层的光刻处理过程中抑制光波反射回到所述光致抗蚀剂层中,以便使所述光致抗蚀剂层的构成图案的曝光和显影的均匀度达到最大值。
23.权利要求22的方法,其特征在于:所述氧氮化硅包括SiO0.5-1.5N0.1-1.5
CNB991106415A 1998-07-22 1999-07-22 在半导体基片上形成带图案导电层的方法和半导体器件 Expired - Fee Related CN1149642C (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/120,630 US6300672B1 (en) 1998-07-22 1998-07-22 Silicon oxynitride cap for fluorinated silicate glass film in intermetal dielectric semiconductor fabrication
US09/120,630 1998-07-22
US09/120630 1998-07-22

Publications (2)

Publication Number Publication Date
CN1242595A CN1242595A (zh) 2000-01-26
CN1149642C true CN1149642C (zh) 2004-05-12

Family

ID=22391568

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB991106415A Expired - Fee Related CN1149642C (zh) 1998-07-22 1999-07-22 在半导体基片上形成带图案导电层的方法和半导体器件

Country Status (6)

Country Link
US (2) US6300672B1 (zh)
EP (1) EP0975017A3 (zh)
JP (1) JP2000068267A (zh)
KR (1) KR20000011863A (zh)
CN (1) CN1149642C (zh)
TW (1) TW434827B (zh)

Families Citing this family (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6277730B1 (en) * 1998-02-17 2001-08-21 Matsushita Electronics Corporation Method of fabricating interconnects utilizing fluorine doped insulators and barrier layers
TW410435B (en) * 1998-06-30 2000-11-01 United Microelectronics Corp The metal interconnection manufacture by using the chemical mechanical polishing process
US6444593B1 (en) 1998-12-02 2002-09-03 Advanced Micro Devices, Inc. Surface treatment of low-K SiOF to prevent metal interaction
US6252303B1 (en) * 1998-12-02 2001-06-26 Advanced Micro Devices, Inc. Intergration of low-K SiOF as inter-layer dielectric
US6274933B1 (en) 1999-01-26 2001-08-14 Agere Systems Guardian Corp. Integrated circuit device having a planar interlevel dielectric layer
US6218284B1 (en) * 1999-02-01 2001-04-17 United Microelectronics, Corp. Method for forming an inter-metal dielectric layer
US6239024B1 (en) * 1999-03-05 2001-05-29 United Microelectronics Corp. Method of filling gap with dielectrics
US6452275B1 (en) * 1999-06-09 2002-09-17 Alliedsignal Inc. Fabrication of integrated circuits with borderless vias
US6413871B2 (en) * 1999-06-22 2002-07-02 Applied Materials, Inc. Nitrogen treatment of polished halogen-doped silicon glass
TW525260B (en) * 1999-08-02 2003-03-21 Taiwan Semiconductor Mfg Shallow trench isolation pull-back process
US6727588B1 (en) * 1999-08-19 2004-04-27 Agere Systems Inc. Diffusion preventing barrier layer in integrated circuit inter-metal layer dielectrics
GB2358733A (en) * 1999-08-30 2001-08-01 Lucent Technologies Inc Integrated circuit with multi-layer dielectric having reduced capacitance
GB2358734A (en) * 1999-08-30 2001-08-01 Lucent Technologies Inc Process for fabricating integrated circuit with multi-layer dielectric having reduced capacitance
US6514876B1 (en) * 1999-09-07 2003-02-04 Steag Rtp Systems, Inc. Pre-metal dielectric rapid thermal processing for sub-micron technology
US6506683B1 (en) * 1999-10-06 2003-01-14 Advanced Micro Devices In-situ process for fabricating a semiconductor device with integral removal of antireflection and etch stop layers
US6432808B1 (en) * 1999-12-03 2002-08-13 Xilinx, Inc. Method of improved bondability when using fluorinated silicon glass
US6936405B2 (en) * 2000-02-22 2005-08-30 Brewer Science Inc. Organic polymeric antireflective coatings deposited by chemical vapor deposition
JP3759456B2 (ja) * 2000-02-22 2006-03-22 ブルーワー サイエンス アイ エヌ シー. 化学蒸着により蒸着される反射防止有機ポリマーコーティング
JP2002057212A (ja) * 2000-08-09 2002-02-22 Mitsubishi Electric Corp 半導体装置、及び半導体装置の製造方法
US6376389B1 (en) 2000-05-31 2002-04-23 Advanced Micro Devices, Inc. Method for eliminating anti-reflective coating in semiconductors
US6365509B1 (en) 2000-05-31 2002-04-02 Advanced Micro Devices, Inc. Semiconductor manufacturing method using a dielectric photomask
US6348406B1 (en) 2000-05-31 2002-02-19 Advanced Micro Devices, Inc. Method for using a low dielectric constant layer as a semiconductor anti-reflective coating
US6380067B1 (en) 2000-05-31 2002-04-30 Advanced Micro Devices, Inc. Method for creating partially UV transparent anti-reflective coating for semiconductors
US6294460B1 (en) 2000-05-31 2001-09-25 Advanced Micro Devices, Inc. Semiconductor manufacturing method using a high extinction coefficient dielectric photomask
US6232217B1 (en) * 2000-06-05 2001-05-15 Chartered Semiconductor Manufacturing Ltd. Post treatment of via opening by N-containing plasma or H-containing plasma for elimination of fluorine species in the FSG near the surfaces of the via opening
US6521542B1 (en) * 2000-06-14 2003-02-18 International Business Machines Corp. Method for forming dual damascene structure
US6284644B1 (en) 2000-10-10 2001-09-04 Chartered Semiconductor Manufacturing Ltd. IMD scheme by post-plasma treatment of FSG and TEOS oxide capping layer
US6566263B1 (en) 2000-08-02 2003-05-20 Taiwan Semiconductor Manufacturing Company Method of forming an HDP CVD oxide layer over a metal line structure for high aspect ratio design rule
US6492240B1 (en) * 2000-09-14 2002-12-10 United Microelectronics Corp. Method for forming improved high resistance resistor by treating the surface of polysilicon layer
US6335274B1 (en) * 2000-11-17 2002-01-01 Macronix International Co., Ltd. Method for forming a high-RI oxide film to reduce fluorine diffusion in HDP FSG process
US6451687B1 (en) * 2000-11-24 2002-09-17 Chartered Semiconductor Manufacturing Ltd. Intermetal dielectric layer for integrated circuits
US6459155B1 (en) * 2000-12-05 2002-10-01 Advanced Micro Devices, Inc. Damascene processing employing low Si-SiON etch stop layer/arc
US6583047B2 (en) 2000-12-26 2003-06-24 Honeywell International, Inc. Method for eliminating reaction between photoresist and OSG
US6801681B2 (en) 2001-01-17 2004-10-05 Optical Coating Laboratory, Inc. Optical switch with low-inertia micromirror
US6785038B2 (en) 2001-01-17 2004-08-31 Optical Coating Laboratory, Inc. Optical cross-connect with magnetic micro-electro-mechanical actuator cells
US6740603B2 (en) * 2001-02-01 2004-05-25 Texas Instruments Incorporated Control of Vmin transient voltage drift by maintaining a temperature less than or equal to 350° C. after the protective overcoat level
US7132219B2 (en) * 2001-02-02 2006-11-07 Brewer Science Inc. Polymeric antireflective coatings deposited by plasma enhanced chemical vapor deposition
US6518646B1 (en) 2001-03-29 2003-02-11 Advanced Micro Devices, Inc. Semiconductor device with variable composition low-k inter-layer dielectric and method of making
US6576545B1 (en) 2001-03-29 2003-06-10 Advanced Micro Devices, Inc. Semiconductor devices with dual nature capping/ARC layers on fluorine doped silica glass inter-layer dielectrics and method of forming capping/ARC layers
US6723639B1 (en) 2001-05-24 2004-04-20 Taiwan Semiconductor Manufacturing Company Prevention of post CMP defects in Cu/FSG process
US6534397B1 (en) * 2001-07-13 2003-03-18 Advanced Micro Devices, Inc. Pre-treatment of low-k dielectric for prevention of photoresist poisoning
JP3967567B2 (ja) 2001-07-30 2007-08-29 株式会社東芝 半導体装置およびその製造方法
US6521545B1 (en) * 2001-10-23 2003-02-18 United Microelectronics Corp. Method of a surface treatment on a fluorinated silicate glass film
US6633392B1 (en) 2002-01-17 2003-10-14 Advanced Micro Devices, Inc. X-ray reflectance system to determine suitability of SiON ARC layer
DE10229463B4 (de) * 2002-07-01 2008-12-11 Qimonda Ag Halbleiteranordnung und Verfahren zu ihrer Herstellung
FR2843406A1 (fr) * 2002-08-08 2004-02-13 Essilor Int Procede d'obtention d'une couche mince, stabilisee, de silice dopee au fluor, couche mince obtenue et leur application en optique ophtalmique
FR2843407B1 (fr) * 2002-08-08 2005-04-22 Procede d'obtention d'une couche mince, stabilisee, de silice dopee au fluor, couche mince obtenue et leur application en optique ophtalmique
DE60326959D1 (de) * 2002-08-08 2009-05-14 Essilor Int Verfahren zur herstellung einer dünnen schicht von stabilisiertem fluorhaltigen silika, so beschichtetes substrat und so erhaltene ophthalmische linse
JP2004079808A (ja) * 2002-08-19 2004-03-11 Seiko Epson Corp 半導体装置および薄膜形成方法
US7153776B2 (en) * 2002-11-27 2006-12-26 International Business Machines Corporation Method for reducing amine based contaminants
US7018779B2 (en) * 2003-01-07 2006-03-28 International Business Machines Corporation Apparatus and method to improve resist line roughness in semiconductor wafer processing
US6953608B2 (en) * 2003-04-23 2005-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Solution for FSG induced metal corrosion & metal peeling defects with extra bias liner and smooth RF bias ramp up
TW200503066A (en) * 2003-07-07 2005-01-16 Macronix Int Co Ltd Process for reworking semiconductor patterned photoresist layer
US20050009367A1 (en) * 2003-07-09 2005-01-13 Taiwan Semiconductor Manufacturing Co. Novel method to increase fluorine stability to improve gap fill ability and reduce k value of fluorine silicate glass (FSG) film
US20050059233A1 (en) * 2003-09-12 2005-03-17 Ming-Tsong Wang Process for forming metal damascene structure to prevent dielectric layer peeling
KR100529606B1 (ko) * 2003-10-01 2005-11-17 동부아남반도체 주식회사 반도체 소자 제조 방법
KR100570070B1 (ko) * 2003-11-18 2006-04-10 매그나칩 반도체 유한회사 습기창을 구비한 구리배선의 신뢰성 측정용 테스트패턴 및그 제조 방법
KR100583957B1 (ko) * 2003-12-03 2006-05-26 삼성전자주식회사 희생금속산화막을 채택하여 이중다마신 금속배선을형성하는 방법
US20060017166A1 (en) * 2004-07-20 2006-01-26 Po-Hsiung Leu Robust fluorine containing Silica Glass (FSG) Film with less free fluorine
US7226875B2 (en) * 2004-11-30 2007-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for enhancing FSG film stability
KR100766239B1 (ko) * 2006-09-22 2007-10-10 주식회사 하이닉스반도체 반도체 소자의 금속 층간 절연막 형성 방법
CN101289284B (zh) * 2007-04-20 2011-04-20 中芯国际集成电路制造(上海)有限公司 有效控制含氟硅玻璃层间介质层形成中产生的气泡的方法
US7927990B2 (en) * 2007-06-29 2011-04-19 Sandisk Corporation Forming complimentary metal features using conformal insulator layer
KR100953016B1 (ko) * 2008-01-22 2010-04-14 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JP2009088548A (ja) * 2008-12-01 2009-04-23 Renesas Technology Corp 半導体集積回路装置およびその製造方法
CN102315116B (zh) * 2010-06-30 2013-07-31 中芯国际集成电路制造(上海)有限公司 一种在晶圆上淀积掺氟氧化硅薄膜的方法
WO2013152031A1 (en) * 2012-04-04 2013-10-10 Kla-Tencor Corporation Protective fluorine-doped silicon oxide film for optical components
US20150206794A1 (en) * 2014-01-17 2015-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Removing Micro Scratches In Chemical Mechanical Polishing Processes
CN103871966B (zh) * 2014-03-19 2017-05-10 武汉新芯集成电路制造有限公司 一种抑制氟硅玻璃晶体缺陷的方法
US9716035B2 (en) * 2014-06-20 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Combination interconnect structure and methods of forming same
KR102531773B1 (ko) 2022-04-21 2023-05-10 이진희 스위블조인트 조류퇴치장치

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69433244T2 (de) * 1993-08-05 2004-07-29 Matsushita Electric Industrial Co., Ltd., Kadoma Herstellungsverfahren für Halbleiterbauelement mit Kondensator von hoher dielektrischer Konstante
US5753975A (en) * 1994-09-01 1998-05-19 Kabushiki Kaisha Toshiba Semiconductor device with improved adhesion between titanium-based metal wiring layer and insulation film
US5741626A (en) * 1996-04-15 1998-04-21 Motorola, Inc. Method for forming a dielectric tantalum nitride layer as an anti-reflective coating (ARC)
US6157083A (en) * 1996-06-03 2000-12-05 Nec Corporation Fluorine doping concentrations in a multi-structure semiconductor device
US6083852A (en) * 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6156149A (en) * 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6562544B1 (en) * 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US5872065A (en) * 1997-04-02 1999-02-16 Applied Materials Inc. Method for depositing low K SI-O-F films using SIF4 /oxygen chemistry
US6103456A (en) * 1998-07-22 2000-08-15 Siemens Aktiengesellschaft Prevention of photoresist poisoning from dielectric antireflective coating in semiconductor fabrication

Also Published As

Publication number Publication date
EP0975017A2 (en) 2000-01-26
TW434827B (en) 2001-05-16
JP2000068267A (ja) 2000-03-03
CN1242595A (zh) 2000-01-26
EP0975017A3 (en) 2003-03-26
KR20000011863A (ko) 2000-02-25
US6008120A (en) 1999-12-28
US6300672B1 (en) 2001-10-09

Similar Documents

Publication Publication Date Title
CN1149642C (zh) 在半导体基片上形成带图案导电层的方法和半导体器件
CN1256438A (zh) 半导体制造中防止由介质防反射层引起的光致抗蚀剂中毒
US9196523B2 (en) Self-aligned permanent on-chip interconnect structures
US8461678B2 (en) Structure with self aligned resist layer on an interconnect surface and method of making same
US9059249B2 (en) Interconnect structures containing a photo-patternable low-k dielectric with a curved sidewall surface
TWI228792B (en) Process for producing semiconductor device and semiconductor device
US6383907B1 (en) Process for fabricating a semiconductor device
US6319821B1 (en) Dual damascene approach for small geometry dimension
CN1230878C (zh) 半导体装置及其制备方法
KR100436495B1 (ko) 스핀온글래스 조성물을 이용한 반도체 장치의 산화실리콘막 형성방법 및 이를 이용한 반도체 장치의 소자분리 방법
KR20110099274A (ko) 집적 회로용 마스킹 패턴 형성 방법
CN1770396A (zh) 具有高含量硅的介电抗反射涂布层
TW200403750A (en) Dual-damascene semiconductor device
CN100576502C (zh) 形成双重镶嵌图案的方法
US20080318169A1 (en) Pattern forming method
US6638853B1 (en) Method for avoiding photoresist resist residue on semioconductor feature sidewalls
US6720251B1 (en) Applications and methods of making nitrogen-free anti-reflective layers for semiconductor processing
CN100499038C (zh) 接触孔的制造方法
US20080194109A1 (en) Method of fabricating a semiconductor device
WO2004090974A1 (ja) 電子デバイス及びその製造方法
JP2005129932A (ja) 半導体デバイス製造方法および半導体デバイス
JP2000299293A (ja) デュアルダマシン法により半導体デバイスに導電性コンタクトを形成する方法
US7253112B2 (en) Dual damascene process
CN1495879A (zh) 微电子器件的双镶嵌互连的制造方法
US6875699B1 (en) Method for patterning multilevel interconnects

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C19 Lapse of patent right due to non-payment of the annual fee
CF01 Termination of patent right due to non-payment of annual fee