CN114127895A - 半导体器件的平坦化 - Google Patents

半导体器件的平坦化 Download PDF

Info

Publication number
CN114127895A
CN114127895A CN202080042922.2A CN202080042922A CN114127895A CN 114127895 A CN114127895 A CN 114127895A CN 202080042922 A CN202080042922 A CN 202080042922A CN 114127895 A CN114127895 A CN 114127895A
Authority
CN
China
Prior art keywords
substrate
hard mask
fill material
deposited
top surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080042922.2A
Other languages
English (en)
Inventor
瑞安·布恩斯
马克·萨默维尔
科里·莱姆利
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN114127895A publication Critical patent/CN114127895A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/465Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/467Chemical or electrical treatment, e.g. electrolytic etching using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

在某些实施例中,一种用于处理衬底的方法包括:将表面处理施加到衬底的选定表面。衬底具有不平坦形貌,该不平坦形貌包括限定凹部的结构。该方法进一步包括:通过旋涂沉积将填充材料沉积在衬底上。表面处理将填充材料引导到凹部和引导远离选定表面,以用填充材料来填充凹部而不附着到选定表面。该方法进一步包括:从衬底的选定表面移除表面处理并通过旋涂沉积将平坦化膜沉积在衬底上。平坦化膜沉积在顶表面以及填充材料的顶表面上。

Description

半导体器件的平坦化
相关申请的交叉引用
本申请要求2019年6月12日提交的美国临时申请号62/860,359的权益,该申请通过引用而并入。
背景技术
本公开总体上涉及微制造,并且在某些实施例中涉及半导体器件的平坦化。
微制造包括沉积、图案化、改质、以及从晶圆移除材料的各种步骤。用以构建集成电路的处理涉及多个膜涂层,这些膜涂层沉积在已图案化的形貌(topography)上,但目标为提供平坦顶表面。将膜沉积在具有已图案化的形貌的表面上有益于后续的工艺。例如,当在光刻胶的平坦层或诸如抗反射涂层(ARC)的平坦底层上进行曝光时,在光刻胶层中曝光光微影图案是更加成功的。
发明内容
在某些实施例中,一种用于处理衬底的方法包括:接收具有不平坦形貌的衬底,该不平坦形貌包括限定凹部的结构。该方法进一步包括:将自组装单层(SAM)沉积在衬底的结构的顶表面上,而不将SAM沉积在位于衬底的结构的顶表面下方的表面上。SAM为特定填充材料提供去湿润表面状况。该方法进一步包括:通过旋涂沉积将特定填充材料沉积在衬底上,使得该特定填充材料填充凹部而不附着到SAM。该方法进一步包括:移除SAM并通过旋涂沉积将平坦化膜沉积在衬底上。平坦化膜沉积在结构的顶表面上以及填充凹部的特定填充材料的顶表面上。
附图说明
为了更完整地理解本公开及其优点,现在参考结合附图进行的以下描述,在附图中:
图1A至图1B图示了将平坦化膜沉积在半导体器件上的示例工艺的横截面图,其中发生不完全的平坦化;
图2A至图2B图示了将平坦化膜沉积在半导体器件上的示例工艺的横截面图,其中发生不完全的平坦化;
图3A至图3E图示了根据本公开的某些实施例的在用于沉积平坦化膜的工艺的各个阶段示例半导体器件的横截面图;
图4A至图4E图示了根据本公开的某些实施例的在用于沉积平坦化膜的工艺的各个阶段示例半导体器件的横截面图;
图5A至图5E图示了根据本公开的某些实施例的在用于沉积平坦化膜的各个阶段示例半导体器件的横截面图;
图6A至图6B图示了根据本公开的某些实施例的示例自组装单层的示例细节;
图7图示了根据本公开的某些实施例的用于形成半导体器件的示例方法;
图8图示了根据本公开的某些实施例的用于形成半导体器件的示例方法;以及
图9图示了根据本公开的某些实施例的用于形成半导体器件的示例方法。
具体实施方式
在半导体制造工艺中,不平坦的表面可能引起后续步骤的良品率问题。仅作为一个示例,微影成像总体上被用作在制造期间将半导体器件的表面图案化的工艺的一部分。微影术用于在下伏层中产生期望的图案,并且可以包括光微影术、电子束微影术、极紫外微影术、以及其他类型的微影术。然而,当抗蚀剂图像位于不平坦层(例如,由于在该不平坦层下面的层的各种各样形貌而潜在地不平坦)的顶部上时,由于抗蚀剂图像的厚度变化(因为其上沉积有抗蚀剂的表面中产生起伏)而在显影之后可能使图案变形。这些变形可能影响临界尺寸和制造的其他方面。
当膜沉积在具有各种各样形貌的表面之上而导致膜本身具有各种各样形貌时,实现平坦化膜是困难的。随着半导体器件的缩小,平坦化已变得甚至更加困难,这至少部分是由于在经历制造的晶圆的较小区域内所伴随的增加的形貌变化。例如,将技术节点减小至5nm节点及甚至更小,持续加剧了平坦化的问题。此外,旋涂式碳、旋涂式电介质、金属氧化物、底部抗反射涂层的长距离平坦化(例如,在大于5μm的区域之上进行平坦化)频繁地在各种各样形貌之上经历不完全的平坦化,造成所得膜厚度偏差超出可接受水平。
用以使形貌平坦化的一种常规技术是使用化学机械研磨(CMP)。虽然CMP在微制造的一些阶段可能是有用的,但由于CMP的粗糙本质或相对高的成本而在其他阶段CMP可能存在某些问题。
在微制造的一些阶段,通过旋涂沉积(也称为旋涂涂布)进行平坦化可能是期望的。然而,通过旋涂涂布进行平坦化会是非常具有挑战性的,特别是在某些应用中。沉积在形貌之上的旋涂膜的膜厚度离差常常驱使下游处理超出适当的规格,而必须采取行动以控制膜的平坦化。
具有由于膜厚度高度的离差而引入的误差的单元操作包括例如由于反射率的微影临界尺寸变化、微影聚焦控制、蚀刻深度、以及后续的沉积工艺。附加地,新颖的三维应用和工艺(诸如,图案翻转和发掘材料柱状隔垫物工艺)可能需要形貌之上的严格的膜厚度水平。
因此,在适当的情况下,半导体制造工艺常常包括被设计成在半导体制造工艺的一个或多个阶段将半导体的一个或多个表面平坦化到期望的程度或尽可能多地对其进行平坦化的步骤。
本公开的实施例提供了用于将沉积在具有各种各样形貌的衬底之上的膜平坦化的改进的技术。本公开的实施例包括将表面处理(例如,自组装单层)施加到具有各种各样形貌(包括限定凹部的结构)的衬底的选定表面。例如,可以将表面处理施加到衬底的顶表面,而不施加到衬底的在凹部中的表面。表面处理产生了一种表面状况,其中特定填充材料不太可能(或不会)形成在衬底的包括表面处理的选定表面(例如,衬底的顶表面)上,而是被引导到并沉积在衬底的尚未施加有表面处理的其他表面(例如,凹部中的表面)上。作为示例,可以将表面处理描述为在衬底的顶表面上产生去湿润表面状况以将特定填充材料引导到凹部,所述凹部相对于特定填充材料为“可湿润性的”。
然后,将特定填充材料进行沉积并填充衬底中的凹部,从而在后续沉积平坦化膜之前“预先填充”凹部。可以沉积特定填充材料,使得衬底的顶表面以及沉积在凹部中的特定填充材料提供了实际上平坦的表面。在某些实施例中,在移除表面处理以及任何其他合适层(例如,硬掩模)之后,将平坦化膜沉积(例如,使用旋涂沉积工艺来沉积的有机膜)在衬底的实际上平坦的表面(由在凹部中沉积特定填充材料所造成)上,该平坦化膜相对于使用常规技术所沉积的膜具有改善的平坦化。
本公开的实施例包括结合对表面选择性单层的使用的旋涂式平坦化方法。旋涂式表面选择性单层可以具有合理的处理时间、以及相对于尚未施加有表面选择性单层的表面而控制膜去湿润的能力。本公开的实施例包括将(多个)表面选择性单层附着到硬掩模表面,以将旋涂膜引导到给定衬底形貌的凹部(诸如,沟槽区域)中。这种初始的旋涂膜可以在形貌中填充直到衬底的顶表面。然后,沉积第二旋涂膜以完成将衬底平坦化。在本公开的实施例中可以使用多种不同材料。这样的技术可以通过旋涂沉积来改善平坦化,并且可以降低处理成本并提高良品率。
图1A至图1B图示了将平坦化膜沉积在半导体器件100上的示例工艺的横截面图,其中发生不完全的平坦化。如图1A中所示,半导体器件100包括衬底102,该衬底可以是经历微制造的较大器件(例如,晶圆或半导体晶圆)的衬底的一部分。
衬底102具有不平坦形貌,该不平坦形貌包括限定凹部106的结构104。虽然图示了特定数量的结构104和凹部106,但本公开所设想的衬底(比如衬底102)包括任何合适的数量的结构104和凹部106。贯穿本公开,衬底的结构(例如,结构104和下文参考其他图所描述的结构)也可以被称为凸起区域。虽然本公开主要描述“凹部”,但将了解的是,可能使用本公开的实施例将其他合适的特征形成在半导体层中,包括(无论是否被视为“凹部”)线、孔、开放区域、沟槽、通孔、和/或其他合适的结构。可以例如通过在下伏层上构建结构(例如,结构104或本公开的其他结构)和/或通过从一个或多个层蚀刻材料来形成凹部(例如,凹部106或本公开的其他凹部)。
衬底102可以包括顶表面108,该顶表面也可以被称为衬底102的结构104的顶表面108。凹部106可以包括表面110,诸如侧壁表面110a和底表面110b。凹部106的表面110可以被视为位于衬底102的结构104的顶表面108下方。
衬底102(包括衬底102的结构104)可以是任何合适的材料,诸如有机硬掩模、氧化物、氮化物、电介质、屏障材料、或导电材料。在特定示例中,衬底102包括二氧化硅。
图1B图示了在已将平坦化膜112沉积在衬底102上之后的半导体器件100的横截面图。在一个示例中,使用旋涂沉积工艺来沉积平坦化膜112;然而,本公开设想以任何合适的方式沉积的平坦化膜112。在某些实施例中,平坦化膜112包括有机材料,诸如旋涂式碳;然而,本公开设想包括任何合适的材料的平坦化膜112。
利用旋涂式平坦化,将特定材料(例如,平坦化膜112的材料)沉积在衬底(例如,衬底102)上。然后,使衬底以相对高的速度(如果尚未旋转,则可能以相对低的速度)旋转,使得离心力引起所沉积的材料朝向衬底的边缘移动,由此涂布衬底。过量的材料通常从衬底甩掉。
当给定的拓扑或凹凸图案具有密集排列结构的区域(例如,从图1A和图1B的左侧起,前四个凹部106)时,这种密度可以将沉积材料向上推并且操纵多少材料的质量分率可以进入凹部。在稀疏排列或填充特征的区域(例如,在存在隔离线而附近无其他特征的地方)(例如,在图1A和图1B中的最右侧凹部106)中,所沉积的材料可以沉降到这些较大的凹穴中,使得最终沉积的z高度将跟随开放区域的百分率。虽然这些问题会存在于各种尺寸的技术节点处,但随着技术节点的持续缩小,会加剧这些问题,其中凹部106的宽度变得甚至更小并且特征被更密集地塞入,从而在甚至更紧密的空间中产生增加的形貌变化。
图1B图示了对平坦化膜112进行旋涂沉积的示例结果,在衬底102的形貌区域之上具有膜z高度变化。例如,平坦化膜112的顶表面114是不平坦的。应理解的是,如图1B中所示的平坦化膜112的变化的形貌仅仅是示例,并且即使利用具有与衬底102形貌类似的形貌的衬底,形貌中的实际变化也可能随沉积的不同而变化。
图2A至图2B图示了将平坦化膜沉积在半导体器件200上的示例工艺的横截面图,其中发生不完全的平坦化。如图2A中所示,半导体器件200包括衬底202,该衬底可以是经历微制造的较大器件(例如,晶圆或半导体晶圆)的衬底的一部分。
衬底202具有不平坦形貌,该不平坦形貌包括限定凹部206的结构204。虽然图示了特定数量的结构204和凹部206,但本公开所设想的衬底(比如衬底202)包括任何合适的数量的结构204和凹部206。贯穿本公开,结构204也可以被称为凸起区域。
所形成的衬底202的结构204和凹部206可以被视为三维特征(例如,三维沟槽)。以最少的处理步骤对这样的结构同时进行填充和平坦化会是困难的。这样的结构取决于应用而变化,但会具有相当程度的尺寸变化(可能地大约几微米宽或深)。
衬底202可以包括顶表面208,该顶表面也可以被称为衬底202的结构204的顶表面208。凹部206可以包括表面210,诸如侧壁表面210a和底表面210b。在所图示的示例中,侧壁表面210a产生凹部206的阶梯状侧壁,该阶梯状侧壁从凹部206的底部移动到凹部206的顶部而递增地增加凹部106的宽度。凹部206的表面210可以被视为位于衬底202的结构204的顶表面208下方。
衬底202(包括衬底202的结构204)可以是与衬底102类似的材料。
图2B图示了在已将平坦化膜212沉积在衬底202上之后的半导体器件200的横截面图。在一个示例中,使用与上文参考图1A至图1B所描述的旋涂工艺类似的旋涂沉积工艺来沉积平坦化膜212;然而,本公开设想以任何合适的方式沉积的平坦化膜212。在某些实施例中,平坦化膜212包括有机材料,诸如旋涂式碳;然而,本公开设想包括任何合适的材料的平坦化膜212。
图2B图示了进行旋涂沉积涂布的示例结果,在形貌的区域之上具有膜z高度变化。例如,平坦化膜212的顶表面214是不平坦的。应理解的是,如图2B中所示的平坦化膜212的变化的形貌仅仅是示例,并且即使利用具有与衬底202形貌类似的形貌的衬底,形貌中的实际变化也可能随沉积的不同而变化。虽然这些问题会存在于各种尺寸的技术节点处,但随着节点持续缩小至低于10nm的节点尺寸(例如,低至目前可能地5nm节点尺寸),会加剧这些问题,其中凹部106的宽度变得甚至更小并且特征被更密集地塞入,从而在甚至更紧密的空间中产生增加的形貌变化。
如从图1A至图1B以及图2A至图2B可以看到,仅仅是将平坦化膜沉积在具有各种各样形貌的衬底上就可能导致膜具有不完全的平坦化,这会对制造工艺的后续步骤产生负面影响。
图3A至图3E图示了根据本公开的某些实施例的在用于沉积平坦化膜的工艺的各个阶段示例半导体器件300的横截面图。如下文更详细描述的,图3A至图3E的示例工艺包括将表面处理施加到半导体器件300的衬底的选定表面并在多个沉积步骤中沉积平坦化膜。
如图3A中所示,半导体器件300与图1A中的半导体器件100在很大程度上类似并且包括衬底302,该衬底可以是经历微制造的较大器件(例如,晶圆或半导体晶圆)的衬底的一部分。衬底302、结构304、凹部306、顶表面308、表面310总体上与衬底202、结构204、凹部206、顶表面208和表面210相似,其描述通过引用并入而不进行重复。
图3B至图3C图示了用于将平坦化膜沉积在衬底302上的工艺的各阶段,其中将表面处理施加到衬底302的选定表面,并且其中用填充材料来填充(部分地或完全地)衬底302的凹部306。也就是说,首先将表面处理施加到衬底302的选定表面,并且用填充材料来填充(部分地或完全地)衬底302的凹部306以提供比图3A至图3B中所图示的形貌更平坦的下伏形貌,而不是进行到将平坦化膜沉积在衬底302上(正如图1A至图1B中的衬底102的情况)。
在所图示的示例中,如图3B中所示,在衬底302的顶表面308上存在表面状况316,并且在凹部306的表面310上存在表面状况318。
具有表面状况316的表面(在所图示的示例中为衬底302的顶表面308)倾向于将某些填充材料(诸如,图3C中待沉积的特定填充材料)排斥或以其他方式引导远离具有表面状况316的表面。表面状况316也可以被称为去湿润状态或去湿润表面状况。在某些实施例中,表面状况316提供将某些填充材料引导远离具有表面状况316的表面的高疏水性机制。在去湿润状态下,可以针对特定填充材料(诸如,图3C中待沉积的填充材料)来匹配表面接触角以达到最佳溶质/溶剂去湿润。
具有表面状况318的表面(在所图示的示例中为凹部306的表面310)倾向于与某些填充材料(诸如,图3C中待沉积的特定填充材料)结合或甚至将其吸引到具有表面状况318的表面。表面状况318也可以被称为可湿润性状态。在可湿润性状态下,可以针对特定填充材料(诸如,图3C中待沉积的填充材料)来匹配表面接触角以达到最佳溶质/溶剂可湿润性。
表面状况316和表面状况318中的一者或两者可以通过将表面处理施加到衬底302的存在那些状况的(多个)表面而产生。衬底302的表面的表面状况也可以被称为表面的表面能量,使得改变表面的表面状况改变了表面的表面能量。
例如,为了向衬底302的选定表面(例如,顶表面308)提供表面状况316,可以将表面处理施加到衬底302的以具有表面状况316为目标的表面。表面处理产生了相对于特定填充材料(图3C中待沉积的填充材料)的去湿润表面状况,并且可以沉积在衬底302的顶表面308上以将填充材料引导远离已施加有表面处理的表面(衬底302的顶表面308)。在某些实施例中,将表面处理施加到衬底302的顶表面308包括将自组装单层(SAM)沉积在衬底302的顶表面308上。下文更详细地描述了SAM。
作为另一个示例,为了向衬底302的选定表面(例如,表面310)提供表面状况318,对选定表面的表面状况的改变可能是适当的或可能是不适当的。在某些实施例中,选定表面(例如,凹部306的表面310)处的材料可能已相对于特定填充材料(图3C中待沉积的填充材料)而被选择为可湿润性的;或者,可能已选择特定填充材料(图3C中待沉积的填充材料),原因在于在不对衬底302的表面进行附加处理的情况下,选定表面(例如,凹部306的表面310)处的材料相对于该特定填充材料是可湿润性的。然而,在某些实施例中,可以处理衬底302的期望沉积填充材料的表面,以便于在那些表面处对填充材料进行沉积。
如图3C中所示,填充材料320沉积在凹部306中、在凹部306的表面310(具有表面状况318的表面)之上。随着填充材料320的沉积,衬底302的顶表面308的表面状况316将填充材料320引导到凹部306并且引导远离顶表面308以用填充材料320来填充凹部306,而不附着到具有表面状况316的表面(顶表面308)。在某些实施例中,在衬底302的选定表面(例如,衬底302的顶表面308)上的表面状况316以及在衬底302的其他表面(例如,衬底302的凹部306中的表面310)上的表面状况318的组合促进了填充材料320在凹部306中的沉积,而不将填充材料320沉积在衬底302的选定表面(例如,顶表面308)上。
贯穿本公开,参考了填充一个或多个凹部(例如,凹部306或参考以下其他图所描述的其他凹部)的填充材料(例如,填充材料320和参考以下其他图所描述的其他填充材料)。本公开设想部分地填充凹部(确切地以不过溢或欠溢的方式填充凹部)或过度地填充凹部的填充材料。
填充材料320可以包括任何合适的材料,并且可以使用特定溶质/溶剂组合来沉积。仅举数个示例,填充材料320可以是光刻胶、含硅的抗反射涂层、旋涂式有机碳、或旋涂式电介质。在光刻胶的情况下,光刻胶可以包括几种组分,包括但不限于聚合物主链、溶剂、光酸产生剂(PAG)、以及碱淬灭剂。示例基础聚合物可以包括酚醛清漆树脂、聚甲基丙烯酸甲酯、以及聚(苯乙烯)-B-聚(4-羟基苯乙烯)。
在图案化工艺中可以使用旋涂式碳或有机材料以优化光学反射、平坦化和/或抗蚀刻性。典型的化学式常常为高度芳香性(AR)且包含交联组分。聚苯乙烯是一种芳香性、高碳含量的旋涂式聚合物的示例。在旋涂式电介质的示例中,旋涂式电介质可以是功能性的、含硅的、无机聚合物材料。特定的示例旋涂式电介质为聚硅氮烷。在某些实施例中,使用旋涂沉积工艺来沉积填充材料320。仅作为一个示例,填充材料320可以是使用旋涂沉积工艺来沉积的有机材料,诸如旋涂式碳。
如图3C中所示,在沉积填充材料320之后,填充材料320可以基本上填充凹部306,使得衬底302的顶表面308和填充材料320的顶表面322共同提供基本上平坦的表面,以用于后续沉积平坦化膜。为衬底302的顶表面308产生表面状况316(例如,去湿润表面状况)的表面处理(例如,所沉积的SAM)将填充材料320引导到凹部306,而不将填充材料320沉积在衬底302的顶表面308上。将填充材料320引导到凹部306允许填充材料320减少并且潜在地消除存在于衬底302中的形貌的至少一部分变化(例如,图3A至图3B中所示的形貌的变化)。凹部306中所沉积的填充材料320为在后续沉积步骤中沉积平坦化膜提供了改善的(且潜在地总体上为平的)表面,而不是将平坦化膜沉积在图3A至图3B中所示的衬底302的各种各样形貌上。
如图3D中所示,衬底302的顶表面308和填充材料320的顶表面322两者都具有表面状况318。在某些实施例中,为了达到顶表面308和顶表面322两者都具有表面状况318(例如,相对于待沉积的平坦化膜的可湿润性状况),移除在图3B中施加到衬底302的选定表面(衬底302的顶表面308)的表面处理。可以使用任何合适的工艺来从衬底302的选定表面移除表面处理。
如图3E中所示,将平坦化膜324沉积在衬底302上。平坦化膜324可以包括任何合适的材料。在某些实施例中,平坦化膜324的材料与填充材料320的材料为相同材料;然而,本公开设想包括不同材料的填充材料320和平坦化膜324。相对于图3A中所示的衬底302的各种各样形貌,至少部分地由于在已沉积填充材料320(例如,如图3C中所示)之后衬底302的改善的平坦性,平坦化膜324的顶表面326具有改善的平坦性。例如,相对于图1B中的平坦化膜112的顶表面114,平坦化膜324的顶表面326具有改善的平坦性。
可以以任何合适的方式来沉积平坦化膜324。在某些实施例中,使用旋涂沉积工艺来沉积平坦化膜324。例如,平坦化膜324可以包括有机材料。作为特定示例,平坦化膜324可以是旋涂式碳。可以将平坦化膜324沉积到适合于特定实施方式的期望厚度。
在沉积具有改善的平坦特性的平坦化膜324之后,可以在平坦化膜324上方或下方的层中形成半导体器件300的附加特征。仅举数个示例,这些特征可以包括金属线、通孔、或其他合适的特征。由于平坦化膜324的改善的平坦特性,后续的图案化特征示出了改善的尺寸控制以及最终改善的下游良品率。
图4A至图4E图示了根据本公开的某些实施例的在用于沉积平坦化膜的工艺的各个阶段示例半导体器件400的横截面图。如下文更详细描述的,图4A至图4E的示例工艺包括将表面处理施加到半导体器件400的衬底的选定表面并在多个沉积步骤中沉积平坦化膜。
如图4A中所图示的半导体器件400与如图3A中所图示的半导体器件300相似且在很大程度上类似;然而,半导体器件400包括硬掩模409。半导体器件400包括衬底402,该衬底与衬底302相似并且可以包括类似的结构和材料,其描述不进行重复。衬底402、结构404、凹部406、顶表面408、表面410总体上与衬底302、结构304、凹部306、顶表面308和表面310相似,其描述通过引用并入而不进行重复。
半导体器件400包括硬掩模409,该硬掩模可以包括任何合适的材料,并且可以用于形成凹部406。作为示例,硬掩模409可以包括抗蚀剂层、旋涂式碳层、无定形碳层(无论是否使用旋涂沉积工艺来沉积)、硅氮化物层、二氧化硅层、含金属层、或任何其他合适类型的硬掩模。虽然被描述为硬掩模,但硬掩模409可以是任何合适类型的沉积膜,诸如抗蚀剂层。
在某些实施例中,相对于下伏层(例如,衬底402的下伏部分),硬掩模409是相对薄的,诸如例如2nm至20nm。硬掩模409具有顶表面411。在形成凹部406之后,并且如图4A中所示,硬掩模409可以位于衬底402的结构404的顶表面408处,该顶表面也可以被称为衬底402的顶表面408。虽然在图4中被示为分离的层,但硬掩模409可以是形成在衬底402的顶表面408上的分离层,或者可以被包括在衬底402中,使得图3A至图3E中的衬底302可以包括硬掩模以作为顶层。因此,出于本公开的目的,硬掩模409的顶表面411也可以被视为衬底402的顶表面。
图4B至图4C图示了用于将平坦化膜沉积在衬底402上的工艺的各阶段,其中将表面处理施加到衬底402的选定表面,并且其中用填充材料来填充(部分地或完全地)衬底402的凹部406。也就是说,首先将表面处理施加到衬底402的选定表面,并且用填充材料来填充(部分地或完全地)衬底402的凹部406以提供比图4A至图4B中所图示的形貌更平坦的下伏形貌,而不是进行到将平坦化膜沉积在衬底402上(正如图1A至图1B中的衬底102的情况)。
在图4B中所图示的示例中,将表面处理416施加到硬掩模409的顶表面411(该顶表面也可以被视为衬底402的顶表面),而不将表面处理416施加到衬底402的凹部406的表面410。表面处理416产生表面状况316,其中具有表面状况316的表面(例如,在所图示的示例中为硬掩模409的顶表面411)倾向于将某些填充材料(诸如,图4C中待沉积的特定填充材料)排斥或以其他方式引导远离具有表面状况316的表面。
衬底402的凹部406中的表面410可以具有与表面状况318相似的表面状况,具有表面状况318的表面倾向于与某些填充材料(诸如,图4C中待沉积的特定填充材料)结合或甚至将其吸引到具有表面状况318的表面。
在某些实施例中,表面处理416为经沉积以针对其上沉积有SAM的表面产生表面状况316的SAM。例如,为了向衬底402的选定表面(例如,硬掩模409的顶表面411)提供表面状况316(对于图4C中待沉积的填充材料的去湿润状态),可以将表面处理416施加到衬底402的以具有表面状况316为目标的表面,以将填充材料引导远离已施加有表面处理416的表面。
在某些实施例中,将表面处理416施加到硬掩模409的顶表面411包括将SAM沉积在硬掩模409的顶表面411上。作为特定示例,SAM可以是液相自组装单层。虽然被描述为单层,但本领域技术人员将了解的是,可能实现或可能不实现对表面处理416的完全覆盖,并且仍然可以达到本公开的各方面。换句话说,由于可以在不具有完全单层对准的情况下发生溶质/溶剂去湿润,因此不需要SAM(或其他合适的表面处理)的完美对准。给定的表面选择性单层具有末端分子基团,该末端分子基团被设计成引起旋涂材料的去湿润。
可以以任何合适的方式施加表面处理416。在某些实施例中,通过旋涂技术或低温化学气相沉积(CVD)工艺来沉积表面处理416(例如,SAM)。例如,可以将特定的表面处理416(例如,SAM)沉积在衬底402的选定表面(例如,硬掩模409的顶表面411)上。所施加的表面处理416可以对于特定的下伏材料具有选择性,使得表面处理416施加到特定表面而非其他者。例如,表面处理416可以对于硬掩模409的材料具有选择性,使得表面处理416沉积在硬掩模409的顶表面411上而不是凹部406的表面410上。用于沉积表面处理的特定工艺步骤和化学品可以取决于表面处理、施加有表面处理的表面、以及沉积技术而变化。
可以将表面处理(包括自组装单层(SAM))施加到纯的多晶表面以及其他类型的有机材料或液体。表面处理416可以经调整以附着到特定衬底并为各种应用提供特定的功能性(例如,液体去湿润)。仅举数个示例,液相SAM可以能够选择性地并且显著地改变表面的湿润润性质,所述表面诸如为金属(例如,铜)、硬掩模、氧化物、有机表面和其他电介质。
如图4C中所示,填充材料420沉积在凹部406中、在凹部406的表面410(尚未施加有表面处理416且总体上具有与表面状况318相似的表面状况的表面)之上。随着填充材料420的沉积,硬掩模409的顶表面411的表面处理416将填充材料420引导到凹部406和引导远离顶表面411以用填充材料420来填充凹部406,而不附着到已施加有表面处理416的表面(例如,硬掩模409的顶表面411)。在某些实施例中,在衬底402的选定表面(例如,顶表面411)上的表面处理416与其他表面(例如,凹部406中的表面410)上缺乏表面处理416的组合促进了填充材料420在凹部406中的沉积,而不将填充材料420沉积在衬底402的选定表面上。
填充材料420总体上与填充材料320相似,其细节通过引用而并入。
此外,在某些实施例中,例如取决于衬底402的形貌(包括凹部406和所选填充材料420的深度、以及相关联的沉积技术),可以执行一个或多个沉积步骤直到达到期望的填充水平。在某些实施例中,使用旋涂沉积工艺来沉积填充材料420。仅作为一个示例,填充材料420可以是使用旋涂沉积工艺来沉积的有机材料,诸如旋涂式碳。
如图4C中所示,在沉积填充材料420之后,填充材料420可以基本上填充凹部406,使得衬底402的顶表面408和填充材料420的顶表面422共同提供基本上平坦的表面,以用于后续沉积平坦化膜。如上文所描述的,表面处理416(例如,所沉积的SAM)为硬掩模409的顶表面411产生表面状况316,从而将填充材料420引导到凹部406,而不将填充材料420沉积在硬掩模409的顶表面411上。将填充材料420引导到凹部406允许填充材料420减少并且潜在地消除存在于衬底402中的形貌的至少一部分变化(例如,图4A至图4B中所示的形貌的变化)。凹部406中所沉积的填充材料420为在后续沉积步骤中沉积平坦化膜提供了改善的(且潜在地总体上为平的)表面,而不是将平坦化膜沉积在图4A至图4B中所示的衬底402的各种各样形貌上。
在特定示例中,在SAM结合到衬底402的表面(例如,硬掩模409的顶表面411)的情况下,可以将特定填充材料420的溶质/溶剂用于旋涂沉积。对于旋涂沉积,可以将特定填充材料420沉积在衬底402上,且然后可以旋转衬底402以将特定填充材料420散布跨越衬底402的表面(潜在地为均匀地)。在SAM附着到硬掩模409的顶表面411的情况下,硬掩模409的顶表面411具有本质上排斥特定填充材料420的表面能量。在旋转涂布特定填充材料420之后,特定填充材料420填充凹部406而产生了近似平坦的表面,而不沉积在硬掩模409的顶表面411上。可以基于选定的表面处理416(例如,SAM)的去湿润性质来选择特定填充材料420(例如,特定聚合物),或者可以基于期望的填充材料420(例如,特定聚合物)来选择特定的表面处理416(例如,特定的SAM)。
如图4D中所示,已从半导体器件400移除了表面处理416和硬掩模409。在某些实施例中,在一个或多个蚀刻步骤中,通过湿润式溶剂剥离、湿润式蚀刻、等离子体蚀刻、或UV/O2处理的任何合适的组合来移除表面处理416和硬掩模409。本公开设想用于移除表面处理416和硬掩模409的任何合适类型的移除工艺。
图4D示出了在沉积填充材料420以及移除表面处理416和硬掩模409之后的半导体器件400。用于移除表面处理416和硬掩模409的移除工艺在衬底402的凹部406中留下了填充材料420。在某些实施例中,在用以移除表面处理416和硬掩模409的一个或多个蚀刻步骤中使用的蚀刻剂对于表面处理416和/或硬掩模409具有选择性,并且不蚀刻(或仅微量蚀刻)填充材料420。结果,得以用填充材料420来填充凹部406,使得衬底402的顶表面408和填充材料420的顶表面422一起形成本质上平坦的表面。
在某些实施例中,作为图4C中的用于沉积填充材料420的沉积工艺的结果,凹部406变得有点过度填充。随着填充材料420的填充,这种过度填充状况可能呈现为凹部406之上的高地,但从具有表面处理416的表面(例如,硬掩模409的顶表面411)去湿润。这种微小的过度填充区域可以在后续沉积(例如,在平坦化膜的沉积期间,如下文参考图4E所描述的)中被吸收,或者可能通过蚀刻步骤或CMP被移除(如果需要的话)。这种负担过重的沉积和移除工艺可以用于减少或消除填充材料420不完全填充凹部406的情况。
在图4D中,衬底402的顶表面408和填充材料420的顶表面422两者都具有与表面状况318(例如,相对于在后续步骤中待沉积的平坦化膜为可湿润性的)相似的表面状况。
如图4E中所示,平坦化膜424沉积在衬底402上。图4E总体上与图3E类似,其中对填充材料320、平坦化膜324、顶表面326的引用分别替换成对填充材料420、平坦化膜424、顶表面426的引用,连同相似元件的其他合适的相似替换。因此,图3E的以上描述及其关联优点通过引用并入而不进行重复。
在已经用填充材料420来填充(或大部分地填充或稍微过度填充)衬底402的凹部406的情况下,存在于图4A和图4B中的衬底402的各种各样形貌已被减少或消除,并且由于存在最小的z高度离差,用于沉积平坦化膜424的第二旋涂沉积步骤可以更有效地在衬底402上方平坦化到期望的厚度水平。
在衬底402被平坦化的情况下,可以执行附加的微制造步骤。例如,在沉积具有改善的平坦特性的平坦化膜424之后,可以在平坦化膜424上方或下方的层中形成半导体器件400的附加特征。仅举数个示例,这些特征可以包括金属线、通孔、或其他合适的特征。由于平坦化膜424的改善的平坦特性,后续的图案化特征倾向于具有改善的尺寸控制以及最终改善的下游良品率。
图5A至图5E图示了根据本公开的某些实施例的在用于沉积平坦化膜的各个阶段示例半导体器件500的横截面图。如下文更详细描述的,图5A至图5E的示例工艺包括将表面处理施加到半导体器件500的衬底的选定表面并在多个沉积步骤中沉积平坦化膜。图5A至图5E的示例工艺(其结合对表面处理的使用以对衬底的一个或多个部分的表面状况进行改质)可以提供平坦化沉积在延伸的凹陷区域之上的膜(例如,旋涂式碳)的改善的能力,以作为回蚀工艺的一部分。
如图5A中所示,在所图示的示例中,半导体器件500包括衬底502,该衬底可以是经历微制造的较大器件(例如,晶圆或半导体晶圆)的衬底的一部分。衬底502具有不平坦形貌,该不平坦形貌包括凸起区域504以及具有凹部506的凹陷区域505。虽然图示了特定数量的凸起区域504以及凹陷区域505/凹部506,但本公开所设想的衬底(比如衬底502)包括任何合适的数量的凸起区域504以及凹陷区域505/凹部506。凸起区域504也可以被称为结构。
衬底502可以包括顶表面508,该顶表面也可以被称为衬底502的凸起区域504的顶表面508。凹陷区域505/凹部506可以包括表面510a和510b。作为示例,表面510a可以被视为侧壁表面(如图5B中所示),并且表面510b可以被视为底表面。表面510a和510b可以被视为位于衬底502的顶表面508下方。
衬底502可以是任何合适的材料,诸如有机硬掩模、氧化物、氮化物、电介质、屏障材料、或导电材料。在特定示例中,衬底302包括硅、二氧化硅、硅氮化物、和/或硅氮氧化物。
已将硬掩模512沉积在衬底502上。在某些实施例中,硬掩模512是旋涂式碳或者已使用旋转涂布技术所沉积的其他有机材料。作为示例,硬掩模512可以包括抗蚀剂层、旋涂式碳层、无定形碳层(无论是否使用旋涂沉积工艺来沉积)、硅氮化物层、二氧化硅层、含金属层、或任何其他合适类型的硬掩模。虽然本公开主要将硬掩模512描述为使用特定技术所沉积的特定材料,但本公开设想包括任何合适的材料并且使用任何合适的技术所沉积的硬掩模512。此外,虽然被描述为硬掩模,但硬掩模512可以是抗蚀剂或其他合适类型的层。
如图5B中所示,已在硬掩模512上执行回蚀,从而在衬底502的凸起区域504的顶表面508之上、从凹陷区域505的表面510a的一部分(使得硬掩模512的至少一部分位于顶表面508下方)、以及从凹陷区域505的表面510b的部分511a移除硬掩模512。使用湿润式溶剂剥离、湿润式蚀刻、等离子体蚀刻、或UV/O2处理的任何合适的组合来执行硬掩模512的回蚀。
在某些实施例中,在执行硬掩模512的回蚀之后,可以对半导体器件500进行洗涤(例如,使用溶剂以移除由硬掩模512的回蚀所造成的氧化表面)以移除由回蚀所造成的某些表面元件。在特定示例中,用于洗涤半导体器件500的溶剂为乙酸正丁酯;然而,本公开设想使用任何合适类型的溶剂。
如在指示符515处可以看到,在执行回蚀之后,会在硬掩模512中出现落差,该落差意味着硬掩模比预期的还要早终止并且不再具有平坦(或总体上平坦)的表面513,这会在稍后的制造步骤中引起问题。
图5C至图5D图示了用于将平坦化膜沉积在衬底502上的工艺的各阶段,其中将表面处理施加到衬底502的选定表面,并且其中用填充材料来填充(部分地或完全地)凹陷区域505/凹部506。也就是说,首先将表面处理施加到衬底502的选定表面以及衬底的凹陷区域505/凹部506,且然后用填充材料来填充(部分地或完全地)凹陷区域505/凹部506以提供比例如图5B中所图示的形貌更平坦的下伏形貌,而不是进行到将平坦化膜沉积在衬底502上。
在所图示的示例中,如图5C中所示,将表面处理516施加到衬底502的顶表面508以及凹陷区域505的表面510b的一部分。在某些实施例中,凹陷区域505的表面510b的施加有表面处理516的该部分包括部分511a的整体;然而,可以将表面处理516施加到凹陷区域505的表面510b的不同部分(例如,小于部分511a)。在所图示的示例中,并未将表面处理516施加到硬掩模512的表面513。
表面处理516总体上对应于上文参考图4A至图4E所描述的表面处理416,不过可以调整表面处理516以适合用于参考图5A至图5E所描述的工艺的特定衬底502和填充材料。表面处理516产生表面状况316,该表面状况为如下的一种状况:其中具有表面状况316的表面(例如,顶表面508以及表面510b的部分511a)倾向于将某些填充材料(诸如,图5D中待沉积的特定填充材料)排斥或以其他方式引导远离具有表面状况316的表面。在去湿润状态下,可以针对特定填充材料(诸如,图5D中待沉积的填充材料)来匹配表面接触角以达到最佳溶质/溶剂去湿润。
在凹陷区域505中的硬掩模512的表面513可以具有与表面状况318相似的表面状况,如上文所描述的,在该表面状况中,具有表面状况318的表面(例如,硬掩模512的表面513)倾向于与某些填充材料(诸如,图5D中待沉积的特定填充材料)结合或甚至将其吸引到具有表面状况318的表面。在可湿润性状态下,可以针对特定填充材料(诸如,图5D中待沉积的填充材料)来匹配表面接触角以达到最佳溶质/溶剂可湿润性。
在某些实施例中,表面处理516为经沉积以针对其上沉积有SAM的表面产生表面状况316的SAM。例如,为了向衬底502的选定表面(例如,衬底502的顶表面508以及凹陷区域505的表面510b的部分511a)提供表面状况316,可以将表面处理516施加到衬底502的以具有表面状况316为目标的表面。表面处理516产生了相对于特定填充材料(例如,图5D中待沉积的填充材料)的去湿润表面状况,并且可以沉积在衬底502的顶表面508以及凹陷区域505的表面510b的部分511a上,以将填充材料引导远离已施加有表面处理516的表面(衬底502的顶表面508以及凹陷区域505的表面510b的部分511a)。
在某些实施例中,将表面处理516施加到衬底502的顶表面508以及凹陷区域505的表面510b的部分511a包括将SAM沉积在衬底502的顶表面508以及凹陷区域505的表面510b的部分511a上。作为特定示例,SAM可以是液相SAM。在某些实施例中,取决于衬底502(在衬底502的暴露表面处)和硬掩模512的材料,表面处理516(例如,SAM)专用于氧化物、氮化物或其他合适材料。
虽然被描述为单层,但本领域技术人员将了解的是,可能实现或可能不实现对表面处理516的完全覆盖,并且仍然可能达到本公开的各方面。换句话说,由于可以在不具有完全单层对准的情况下发生溶质/溶剂去湿润,因此不需要SAM(或其他合适的表面处理)的完美对准。给定的表面选择性单层具有末端分子基团,该末端分子基团被设计成引起旋涂材料的去湿润。下文更详细地描述了SAM。
可以以任何合适的方式施加表面处理516。在某些实施例中,通过旋涂技术来沉积表面处理516。例如,可以将特定的表面处理516(例如,SAM)沉积在衬底502的选定表面(例如,衬底502的顶表面508以及凹陷区域505的表面510b的部分511a)上。所施加的表面处理516可以对于特定的下伏材料具有选择性,使得表面处理516施加到特定表面而非其他者。例如,表面处理516可以对于衬底502的材料具有选择性,使得表面处理516沉积在衬底502的顶表面508以及凹陷区域505的表面510b的部分511a上而不是硬掩模512的表面513上。
如图5D中所示,填充材料520沉积在凹陷区域505中、在硬掩模512的表面513(尚未施加有表面处理516且总体上具有与表面状况318相似的表面状况的表面)之上。随着填充材料520的沉积,衬底502的顶表面508以及凹陷区域505的表面510b的部分511a的表面处理516将填充材料520引导到硬掩模512的表面513和引导远离衬底502的顶表面508以及表面510b的部分511a以在硬掩模512的表面513之上用填充材料520来填充凹陷区域505,而不附着到已施加有表面处理516的表面。在某些实施例中,在选定表面(例如,衬底502的顶表面508以及凹陷区域505的表面510b的部分511a)上的表面处理516与其他表面(例如,硬掩模512的表面513)上缺乏表面处理516的组合促进了将填充材料520沉积在凹陷区域505中的硬掩模512的表面513之上,而不将填充材料520沉积在衬底502的选定表面上。
填充材料520总体上与填充材料320和填充材料420相似,其细节通过引用而并入。
此外,在某些实施例中,例如取决于衬底502的形貌(包括凹陷区域505和所选填充材料520的深度、以及相关联的沉积技术),可以执行一个或多个沉积步骤直到达到期望的填充水平。
填充材料520可能包括或可能不包括与硬掩模512相同的材料。在某些实施例中,使用旋涂沉积工艺来沉积填充材料520。仅作为一个示例,填充材料520可以是使用旋涂沉积工艺来沉积的有机材料,诸如旋涂式碳。
如图5D中所示,在沉积填充材料520之后,填充材料520可以填充凹陷区域505的至少一部分,并且可以具有基本上平坦的顶表面521。表面处理516为衬底502的顶表面508以及凹陷区域505的表面510b的部分511a产生表面状况316,从而将填充材料520引导到凹陷区域505中的硬掩模512的表面513,而不将填充材料520沉积在衬底502的顶表面508以及凹陷区域505的表面510b的部分511a上。将填充材料520引导到硬掩模512的表面513允许填充材料520减少并且潜在地消除硬掩模512中的可以在延伸区域(诸如,在凹陷区域505中)之上出现的落差的至少一部分。
在特定示例中,在SAM结合到衬底502的表面(例如,衬底502的顶表面508以及凹陷区域505的表面510b的部分511a)的情况下,可以将特定填充材料520的溶质/溶剂用于旋涂沉积。对于旋涂沉积,可以将特定填充材料520沉积在衬底502上,且然后可以旋转衬底502以将特定填充材料520散布跨越衬底502的表面(潜在地为均匀地)。在SAM附着到衬底502的顶表面508以及凹陷区域505的表面510b的部分511a的情况下,顶表面508以及表面510b的部分511a具有本质上排斥特定填充材料520的表面能量。在旋转涂布特定填充材料520之后,特定填充材料520积聚在硬掩模512的表面513之上而产生了近似平坦的顶表面521,而不沉积在衬底502的顶表面508以及凹陷区域505的表面510b的部分511a上。可以基于选定的表面处理516(例如,SAM)的去湿润性质来选择特定填充材料520(例如,特定聚合物),或者可以基于期望的填充材料520(例如,特定聚合物)来选择特定的表面处理516(例如,特定的SAM)。
在某些实施例中,填充材料520积聚在硬掩模512的表面513之上而产生了总体上平坦的顶表面521。硬掩模512的表面513之上所沉积的填充材料520为在后续沉积步骤中沉积平坦化膜提供了改善的(且潜在地总体上为平的)表面,而不是将平坦化膜沉积在图5A至图5B中所示的衬底502的各种各样形貌上以及在图5B中的指示符515处所示的硬掩模512中的落差部之上。
在适当的情况下,在沉积填充材料520之后,可以在填充材料520上执行短暂的回蚀,以实现填充材料520的期望的厚度和/或轮廓。在某些实施例中,使用湿润式溶剂剥离、湿润式蚀刻、等离子体蚀刻、或UV/O2处理的任何合适的组合来执行填充材料520的回蚀(如果执行的话)。
如图5E中所示,已从半导体器件400移除表面处理516,并且已沉积平坦化材料524。在某些实施例中,使用一个或多个蚀刻步骤通过湿润式溶剂剥离、湿润式蚀刻、等离子体蚀刻、或UV/O2处理的任何合适的组合来移除表面处理516。本公开设想用于移除表面处理516的任何合适类型的移除工艺。用于移除表面处理516的移除工艺在硬掩模512的表面513之上留下了填充材料520。在某些实施例中,在用以移除表面处理516的一个或多个蚀刻步骤中使用的蚀刻剂对于表面处理516具有选择性,并且不蚀刻(或仅微量蚀刻)填充材料520。结果,填充材料520的顶表面521保持其相对平坦的特性。
在移除表面处理516之后,衬底502的顶表面508以及凹陷区域505的表面510b的部分511a两者都恢复到与(例如,图3D的)表面状况318相似的表面状况。也就是说,相对于待沉积的平坦化膜(例如,平坦化膜524),衬底502的顶表面508以及凹陷区域505的表面510b的部分511a可以被视为可湿润性的。
如图5E中所示,将平坦化膜524沉积在衬底502上。平坦化膜524的材料可以是任何合适的材料。在某些实施例中,平坦化膜524的材料与填充材料520的材料(后者也可以与硬掩模512为相同材料)为相同材料;然而,本公开设想包括不同材料的填充材料520和平坦化膜524。至少部分地由于在已沉积填充材料520之后图5D中的顶表面521的平坦性改善,平坦化膜524的顶表面526具有改善的平坦性。
可以以任何合适的方式来沉积平坦化膜524。在某些实施例中,使用旋涂沉积工艺来沉积平坦化膜524。例如,平坦化膜524可以包括有机材料。作为特定示例,平坦化膜524可以是旋涂式碳。可以将平坦化膜524沉积到适合于特定实施方式的期望厚度。
在衬底502被平坦化的情况下,可以执行附加的微制造步骤。例如,在沉积具有改善的平坦特性的平坦化膜524之后,可以在平坦化膜524上方或下方的层中形成半导体器件500的附加特征。仅举数个示例,这些特征可以包括金属线、通孔、或其他合适的特征。由于平坦化膜524的改善的平坦特性,后续的图案化特征倾向于具有改善的尺寸控制以及最终改善的下游良品率。
图6A至图6B图示了根据本公开的某些实施例的示例自组装单层(SAM)600的示例细节。参考图6A至图6B所描述的实施例仅被提供作为示例。本公开设想任何合适的结构和材料的SAM。如上文所描述的,可以将表面处理416和/或516实施为SAM,并且SAM 600提供这样的SAM的示例。
图6A图示了附着到衬底604的示例自组装单层(SAM)元件602。衬底604可以例如是衬底302、衬底402、硬掩模409、或衬底502。
SAM元件602包括三个一般性功能性基团606:头部基团606a、功能基团606b、以及尾部基团606c。在选择SAM元件602(及由此包括多个SAM元件602的SAM 600,如图6B中所示)的构成中,可以考虑这些一般性功能性基团606中的每一者的角色以做出适当的选择。
头部基团606a(其也可以被称为配位基团)适于附着到衬底604,以将SAM元件602结合或以其他方式附着到衬底604。因此,对于头部基团606a,可以选择能够以及适合于附着到衬底604的材料的头部基团606a的材料。
此外,对头部基团606a的材料的选择(结合对SAM 600旨在附着的材料的选择、以及对SAM 600不旨在附着的材料的选择)促进了SAM 600附着到特定层而不是其他特定层。例如,可以选择这样的头部基团606a,即该头部基团适于附着到硬掩模409的顶表面411,但不适于附着到衬底402的在凹部406中的表面410。作为另一个示例,可以选择这样的头部基团606a,即该头部基团适于附着到衬底502的凸起区域504的顶表面508、以及附着到衬底502的凹陷区域505的表面510b的部分511a,但不适于附着到硬掩模512的表面513。头部基团606a的这一特征允许选择性沉积SAM 600。
在特定示例中,头部基团606a可以包括含硫醇的头部基团(例如,十八烷基硫醇(ODT))或含硅的头部基团(例如,十八烷基三氯硅烷(OTS)或十八烷基硅氧烷(ODS))。在某些实施例中,为了附接到含有机物的衬底(例如,旋涂式碳或无定形碳),头部基团606a可以包括含烯烃的分子,所述含烯烃的分子可以与衬底层的C-H端接表面位点形成共价键。在某些实施例中,为了附接到含硅的衬底(例如,Si、SiO2、SiN、或SiON),头部基团606a可以包括硅并且可以是例如OTS或ODS。在某些实施例中,为了附接到含金属的衬底(例如,铜、钴、钌、或另一种合适的金属),头部基团606a可以是含硫醇的头部基团,诸如ODT。
功能基团606b(其也可以被称为末端基团)被设计成优化由SAM 600提供的表面状况316(例如,去湿润表面状况)。
尾部基团606c(其也可以被称为联接基团(spacer))将头部基团606a耦接到功能基团606b,并在头部基团606a与功能基团606b之间提供期望的间距。此外,可以调整尾部基团606c的长度以针对特定材料(例如,填充材料320、420、或520)调节接触角。在某些实施例中,尾部基团606c是分子链,诸如烷烃链。尾部基团606c可以是有机中间相,并且可以提供SAM 600的明确定义的厚度、充当物理屏障、以及更改电导率和区域光学性质(在适当的情况下)。
可以针对待沉积的填充材料(例如,填充材料320、420、或520)来优化尾部基团606c。例如,在待使用旋涂涂布技术来沉积填充材料的情况下,可以针对与待使用的旋涂涂布技术相关联的旋涂溶剂来优化尾部基团606c。作为特定示例,可以使用含碳或含氟的尾部基团。对于有机的旋涂聚合物,溶剂的选择可能是特别重要的。例如,低蒸气压和高接触角可以是有效的。作为特定示例,甲苯可以是有效的。
图6B图示了由附着到衬底604的表面的多个SAM元件602所形成的SAM 600。
图7图示了根据本公开的某些实施例的用于形成半导体器件的示例方法700。方法700的实施例可以应用于本公开中所描述的任何实施例、以及其他合适的实施例。该方法从步骤702开始。
在步骤704处,将表面处理施加到具有不平坦形貌的衬底上的选定表面,该不平坦形貌包括限定凹部的结构。例如,衬底可以是衬底302,其包括限定凹部306的结构304,并且选定表面可以是衬底302的顶表面308。作为另一个示例,衬底可以是衬底402,其包括限定凹部406的结构404,并且选定表面可以是硬掩模409的顶表面411,该顶表面可以被视为衬底402的顶表面。作为又一示例,衬底可以是衬底502,其包括凸起区域504以及凹陷区域505,并且选定表面可以是衬底502的顶表面508。参考在特定晶圆的区域之上的衬底502,衬底502可以包括限定相应的凹部506的多个凸起区域504。在某些实施例中,在步骤704处施加表面处理包括将SAM沉积到衬底的选定表面。
在步骤706处,例如,通过旋涂沉积将填充材料沉积在衬底上。表面处理将填充材料引导到凹部和引导远离选定表面,以用填充材料来填充凹部而不附着到选定表面。
例如,如上文参考图3A至图3E所描述的,例如,通过旋涂沉积可以将填充材料320沉积在衬底302上,并且产生表面状况316(例如,相对于填充材料320的去湿润表面状况)的表面处理将填充材料320引导到凹部306和引导远离衬底的顶表面308(已施加有产生表面状况316的表面处理),以填充凹部306而不附着到衬底302的顶表面308。
作为另一个示例,如上文参考图4A至图4E所描述的,表面处理416产生与表面状况316相似的状况(例如,相对于填充材料420的去湿润表面状况),并且将填充材料420引导到凹部406和引导远离硬掩模409的顶表面411(已施加有表面处理416),以填充凹部406而不附着到硬掩模409的顶表面411。
在某些实施例中,诸如上文参考图5A至图5E所描述的,在步骤704处的将表面处理516施加到衬底502的选定表面之前,可以将硬掩模512沉积在衬底502上,并且可以对硬掩模512进行蚀刻以在衬底502的选定表面之上以及从(凹陷区域505的)凹部506的一部分移除硬掩模512,硬掩模512的一部分留在(凹陷区域505的)凹部506中。通过旋涂沉积将填充材料520沉积在衬底502上可以包括:将填充材料520沉积在硬掩模512的留在(凹陷区域505的)凹部506中的该部分上。
在步骤708处,从衬底的选定表面移除表面处理。可以通过湿润式溶剂剥离、湿润式蚀刻、等离子体蚀刻、或UV/O2处理的任何合适的组合来移除表面处理。例如,可以从衬底302的顶表面308移除产生表面状况316的表面处理,可以从硬掩模409的顶表面411移除表面处理416(以及可能地硬掩模409),或者可以从衬底502的顶表面508以及从凹部506的表面510b的部分511a移除表面处理516。
在步骤710处,例如,通过旋涂沉积将平坦化膜沉积在衬底上。平坦化膜沉积在衬底的选定表面上以及填充材料的顶表面上。例如,可以将平坦化膜324、424、或524分别沉积在衬底302上(包括在衬底302的顶表面308、以及凹部306中的填充材料320的顶表面322上)、衬底402上(包括在衬底402的顶表面408、以及凹部406中的填充材料420的顶表面422上)、或衬底502上(包括在衬底502的顶表面508、以及凹部506中的填充材料520的顶表面521上)。
在沉积具有改善的平坦特性的平坦化膜之后,可以在平坦化膜上方或下方的层中形成半导体器件的附加特征。仅举数个示例,这些特征可以包括金属线、通孔、或其他合适的特征。由于平坦化膜的改善的平坦特性,后续的图案化特征倾向于具有改善的临界尺寸控制以及最终改善的下游良品率。
在步骤712处,方法700结束。
图8图示了根据本公开的某些实施例的用于形成半导体器件的示例方法800。方法800的实施例可以应用于本公开中所描述的任何实施例、以及其他合适的实施例。该方法从步骤802开始。
在步骤804处,接收具有不平坦形貌的衬底,该不平坦形貌包括限定凹部的结构。在某些实施例中,将衬底接收于工具中,该工具被设计成用于在后续步骤中将表面处理沉积在衬底的选定表面上。例如,衬底可以是衬底302(包括限定凹部306的结构304)、衬底402(包括限定凹部406的结构404)、或衬底502(包括凸起区域504以及凹陷区域505)。关于在特定晶圆的区域之上的衬底502,衬底502可以包括限定相应的凹陷区域505/凹部506的多个凸起区域504。在某些实施例中,衬底的多个部分包括硬掩模,使得衬底的这些部分的顶表面为硬掩模,如图4A中所示,其中硬掩模409位于衬底402上。
在步骤806处,将自组装单层(SAM)沉积在衬底的结构的顶表面上,而不将SAM沉积在位于衬底的结构的顶表面下方的表面上。例如,可以将提供表面状况316的表面处理(例如,SAM)沉积在衬底302的顶表面308上;可以将表面处理416(例如,SAM)沉积在硬掩模409的顶表面411上,该顶表面可以被视为衬底402的顶表面;或者可以将表面处理516(例如,SAM)沉积在衬底502的顶表面508上以及潜在地在衬底502的其他表面(诸如,凹陷区域505的表面510b的部分511a)上。在某些实施例中,SAM为特定填充材料(例如,填充材料320、420、或520)提供去湿润表面状况(例如,表面状况316),该特定填充材料诸如为在步骤808处待沉积的填充材料。在某些实施例中,SAM包括耦接到衬底的头部基团(例如,头部基团606a)、功能基团(功能基团606b)、以及尾部基团(例如,尾部基团606c),该尾部基团将头部基团耦接到功能基团,使得头部基团与功能基团间隔开。
在步骤808处,例如,通过旋涂沉积将特定填充材料沉积在衬底上,使得特定填充材料填充凹部而不附着到SAM。
例如,如上文参考图3A至图3E所描述的,例如,通过旋涂沉积可以将填充材料320沉积在衬底302上,并且产生表面状况316(例如,相对于填充材料320的去湿润表面状况)的表面处理将填充材料320引导到凹部306和引导远离衬底的顶表面308(已施加有产生表面状况316的表面处理),以填充凹部306而不附着到产生表面状况316的表面处理。作为另一个示例,如上文参考图4A至图4E所描述的,表面处理416(例如,SAM)产生与表面状况316相似的状况(例如,相对于填充材料420去湿润表面状况),并且将填充材料420引导到凹部406和引导远离硬掩模409的顶表面411(已施加有表面处理416),以填充凹部406而不附着到表面处理416。
在某些实施例中,例如,如关于图5A至图5E所描述的,在步骤806处的将SAM(表面处理516)沉积在衬底的结构(衬底502的凸起区域504)的顶表面(表面508)上之前,可以将硬掩模512沉积在衬底502上,并且可以对硬掩模512进行蚀刻以在衬底的结构(衬底502的凸起区域504)的顶表面(表面508)之上以及从凹陷区域505/凹部506的一部分移除硬掩模512,硬掩模512的一部分留在凹陷区域505/凹部506中。通过旋涂沉积将填充材料520沉积在衬底502上使得填充材料520填充(凹陷区域505的)凹部506而不附着到表面处理516(例如,SAM)可以包括:将填充材料520沉积在硬掩模512的留在(凹陷区域505的)凹部506中的该部分上。
在步骤810处,移除SAM。例如,可以通过湿润式溶剂剥离、湿润式蚀刻、等离子体蚀刻、或UV/O2处理的任何合适的组合来移除SAM。例如,如上文参考图3A至图3E所描述的,从衬底302的顶表面308移除产生表面状况316的表面处理(例如,SAM)。作为另一个示例,如上文参考图4A至图4E所描述的,从硬掩模409的顶表面411移除表面处理416(例如,SAM)。在某些实施例中,步骤810包括移除硬掩模409。作为另一个示例,如上文参考图5A至图5E所描述的,从衬底502的顶表面508以及从(凹陷区域505的)凹部506的表面510b的部分511a移除表面处理516(例如,SAM)。
在步骤812处,例如,通过旋涂沉积将平坦化膜沉积在衬底上。平坦化膜沉积在结构的顶表面上以及填充凹部的特定填充材料的顶表面上。例如,可以将平坦化膜324沉积在衬底302上(包括在衬底302的顶表面308、以及凹部306中的填充材料320的顶表面322上),可以将平坦化膜424沉积在衬底402上(包括在衬底402的顶表面408、以及凹部406中的填充材料420的顶表面422上),或者可以将平坦化膜524沉积在衬底502上(包括在衬底502的顶表面508、以及(凹陷区域505的)凹部506中的填充材料520的顶表面521上)。
在沉积具有改善的平坦特性的平坦化膜之后,可以在平坦化膜上方或下方的层中形成半导体器件的附加特征。仅举数个示例,这些特征可以包括金属线、通孔、或其他合适的特征。由于平坦化膜的改善的平坦特性,后续的图案化特征倾向于具有改善的临界尺寸控制以及最终改善的下游良品率。
在步骤814处,方法800结束。
图9图示了根据本公开的某些实施例的用于形成半导体器件的示例方法900。方法900的实施例可以应用于本公开中所描述的任何实施例、以及其他合适的实施例。在此特定示例中,参考图5A至图5E的半导体器件500来描述方法900。该方法从步骤902开始。
在步骤904处,接收具有不平坦形貌的衬底502,该不平坦形貌包括凸起区域504以及凹陷区域505。例如,可以将衬底502接收于用于在后续步骤中沉积硬掩模的工具中,诸如旋转涂布工具。
在步骤906处,可以将硬掩模512沉积在衬底502上。
在步骤908处,对硬掩模512进行蚀刻以在衬底502的凸起区域504的顶表面508之上以及从凹陷区域505的表面510b的部分511a移除硬掩模512。硬掩模512的一部分留在凹陷区域505的表面510b的部分511b上。在某些实施例中,在步骤908处在硬掩模512上执行的蚀刻(其可以被称为回蚀)也从凹陷区域505的表面510a的一部分移除硬掩模512,使得硬掩模512的至少一部分位于衬底502的顶表面508下方。在某些实施例中,使用湿润式溶剂剥离、湿润式蚀刻、等离子体蚀刻、或UV/O2处理的任何合适的组合来执行对硬掩模512的蚀刻。
在步骤910处,将SAM(或其他表面处理516)沉积在凸起区域504的顶表面508上以及凹陷区域505的表面510b的部分511a(或另一个合适的部分)上,而不将SAM(或其他表面处理516)沉积于留在凹陷区域505的表面510b的部分511b上的硬掩模512上。SAM(或其他表面处理516)为填充材料(诸如,填充材料520)提供去湿润表面状况(例如,与表面状况316相似)。
在步骤912处,例如,通过旋涂沉积将填充材料520沉积在衬底502上,使得填充材料520填充介于凸起区域504和在凹陷区域505的表面510b的部分511a上的SAM(或其他表面处理516)之间的凹陷区域505,而不附着到SAM。在某些实施例中,通过旋涂沉积将填充材料520沉积在衬底502上包括:将填充材料520沉积于留在凹陷区域505中的硬掩模512上。
在步骤914处,在一个或多个移除步骤中移除SAM(或其他表面处理516)。本公开设想用于移除表面处理516的任何合适类型的移除工艺,包括湿润式溶剂剥离、湿润式蚀刻、等离子体蚀刻、或UV/O2处理的任何合适的组合。用于移除表面处理516的移除工艺在硬掩模512的表面513之上留下了填充材料520。在某些实施例中,在用以移除表面处理416和硬掩模409的一个或多个蚀刻步骤中使用的蚀刻剂对于表面处理516具有选择性,并且不蚀刻(或仅微量蚀刻)填充材料520。结果,填充材料520的顶表面521保持其相对平坦的特性。
在步骤916处,例如,通过旋涂沉积将平坦化膜524沉积在衬底502上。可以将平坦化膜524沉积在衬底502的凸起区域504的顶表面508上以及填充材料520的顶表面521上。至少部分地由于在已沉积填充材料520之后图5D中的顶表面521的平坦性改善,平坦化膜524的顶表面526具有改善的平坦性。上文参考图5E描述了平坦化膜524的细节。
在沉积具有改善的平坦特性的平坦化膜524之后,可以在平坦化膜上方或下方的层中形成半导体器件的附加特征。仅举数个示例,这些特征可以包括金属线、通孔、或其他合适的特征。由于平坦化膜的改善的平坦特性,这些特征也倾向于具有改善的特性,诸如改善的临界尺寸控制以及最终改善的下游良品率。
在步骤918处,方法900结束。
本公开的实施例可以提供一个或多个技术优势。例如,某些实施例减少或消除了在沉积于具有各种各样形貌的衬底上的平坦化膜中缺乏平坦性。通过用填充材料部分地或完全地填充衬底中的凹部,可以减少或消除衬底形貌中的变化,从而导致在沉积于衬底上时平坦化膜更受厚度控制。此外,通过在沉积填充材料之前将表面处理施加到衬底的选定表面(例如,衬底的顶表面),得以将填充材料引导到凹部。本公开的实施例可以提供这些优势中的一些或全部。
虽然已主要使用特定类型的衬底(诸如,与衬底102、衬底302、衬底402和衬底502类似的那些衬底)来描述本公开,但本公开设想应用类似的原理和技术以将任何合适类型的衬底的形貌平坦化。仅作为一个特定示例,可以将本公开的实施例应用于三维结构,诸如半导体器件200的衬底202。
在前面的描述中,已阐述了具体细节,诸如处理系统的特定几何形状以及对其中使用的各种部件和工艺的描述。然而,应理解,可以在脱离这些具体细节的其他实施例中实践本文中的技术,并且这样的细节是出于解释而非限制的目的。已参考附图描述了本文中所公开的实施例。类似地,出于解释的目的,已阐述了具体的数字、材料和配置以便提供透彻的理解。然而,可以在没有这样的具体细节的情况下实践实施例。具有基本上相同的功能构造的部件由相似的附图标记表示,且因此可以省略任何多余的描述。
已将各种技术描述为多个离散的操作以帮助理解各种实施例。描述的顺序不应被解释为暗示这些操作一定是依赖于顺序的。实际上,这些操作无需按照呈现的顺序执行。可以以与所描述的实施例不同的顺序来执行所描述的操作。在附加实施例中,可以执行各种附加操作和/或可以省略所描述的操作。
贯穿本公开,术语“层”和“膜”可以各自包括在一个或多个处理步骤中沉积的一个或多个层或膜。如本文中所使用的,“衬底”或“目标衬底”一般地指代根据本公开所处理的物体。衬底可以包括器件(特别是半导体或其他电子器件)的任何材料部分或结构,并且可以例如是基础衬底结构(诸如,半导体晶圆、掩模版)、或基础衬底结构上或上覆的层(诸如,薄膜)。因此,衬底不限于已图案化或未图案化的任何特定基础结构、下伏层或上覆层,而是设想为包括任何这样的层或基础结构、以及层和/或基础结构的任何组合。该描述可以参考特定类型的衬底,但这仅出于图示性目的。
当然,为了清楚起见,已呈现了如本文中所描述的不同步骤的讨论顺序。一般来说,这些步骤可以以任何合适的顺序执行。附加地,尽管可以在本公开的不同地方讨论本文中的不同特征、技术、配置等中的每一者,但是意图是每个概念可以彼此独立地或彼此组合地执行。因此,可以以许多不同的方式来实施和查看本公开。
尽管已参考图示性实施例描述了本公开,但是此描述并非旨在以限制性的意义来解释。在参考描述时,图示性实施例以及本公开的其他实施例的各种修改和组合对于本领域技术人员将是显而易见的。因此,意图是所附权利要求涵盖任何这样的修改或实施例。

Claims (21)

1.一种用于处理衬底的方法,该方法包括:
接收具有不平坦形貌的衬底,该不平坦形貌包括限定凹部的结构;
将自组装单层沉积在该衬底的这些结构的顶表面上,而不将该自组装单层沉积在位于该衬底的这些结构的顶表面下方的表面上,该自组装单层为特定填充材料提供去湿润表面状况;
通过旋涂沉积将该特定填充材料沉积在该衬底上,使得该特定填充材料填充这些凹部而不附着到该自组装单层;
移除该自组装单层;以及
通过旋涂沉积将平坦化膜沉积在该衬底上,该平坦化膜沉积在这些结构的顶表面上以及填充这些凹部的该特定填充材料的顶表面上。
2.根据权利要求1所述的方法,其中,该衬底的部分包括硬掩模,使得该衬底的这些部分的顶表面为该硬掩模,该自组装单层沉积在该硬掩模上。
3.根据权利要求2所述的方法,进一步包括:在将该平坦化膜沉积在该衬底上之前,移除该硬掩模。
4.根据权利要求2所述的方法,其中,该硬掩模是旋涂式碳硬掩模。
5.根据权利要求1所述的方法,进一步包括,在将该自组装单层沉积在该衬底的这些结构的顶表面上之前:
将硬掩模沉积在该衬底上;以及
对该硬掩模进行蚀刻以在这些结构的顶表面之上以及从这些凹部的一部分移除该硬掩模,该硬掩模的一部分留在这些凹部中。
6.根据权利要求5所述的方法,其中,通过旋涂沉积将该特定填充材料沉积在该衬底上使得该特定填充材料填充这些凹部而不附着到该自组装单层包括:将该特定填充材料沉积在该硬掩模的留在这些凹部中的该部分上。
7.根据权利要求1所述的方法,其中,该自组装单层包括:
头部基团,其耦接到衬底;
功能基团;以及
尾部基团,其将该头部基团耦接到该功能基团,使得该头部基团与该功能基团间隔开,该尾部基团是有机物质。
8.根据权利要求1所述的方法,其中,该自组装单层包括硫醇或硅。
9.根据权利要求1所述的方法,其中,这些凹部包括沟槽或孔中的至少一者,这些沟槽或孔由该衬底的该不平坦形貌的这些结构所限定。
10.一种用于处理衬底的方法,该方法包括:
将表面处理施加到衬底的选定表面,该衬底具有不平坦形貌,该不平坦形貌包括限定凹部的结构;
通过旋涂沉积将填充材料沉积在该衬底上,该表面处理将该填充材料引导到这些凹部和引导远离这些选定表面,以用该填充材料填充这些凹部而不附着到这些选定表面;
从该衬底的这些选定表面移除该表面处理;以及
通过旋涂沉积将平坦化膜沉积在该衬底上,该平坦化膜沉积在这些选定表面以及该填充材料的顶表面上。
11.根据权利要求10所述的方法,进一步包括,在将该表面处理施加到该衬底上的这些选定表面之前:
将硬掩模沉积在该衬底上;以及
对该硬掩模进行蚀刻以在该衬底的这些选定表面之上以及从这些凹部的一部分移除该硬掩模,该硬掩模的一部分留在这些凹部中。
12.根据权利要求11所述的方法,其中,通过旋涂沉积将该填充材料沉积在该衬底上包括:将该填充材料沉积在该硬掩模的留在这些凹部中的该部分上。
13.根据权利要求10所述的方法,其中,施加该表面处理包括:将自组装单层沉积在该衬底的这些选定表面上。
14.根据权利要求10所述的方法,其中,这些凹部包括沟槽或孔中的至少一者,这些沟槽或孔由该衬底的该不平坦形貌的这些结构所限定。
15.根据权利要求10所述的方法,其中,该衬底的部分包括硬掩模,使得该衬底的这些部分的顶表面为该硬掩模,该表面处理施加到该硬掩模。
16.根据权利要求15所述的方法,进一步包括:在将该平坦化膜沉积在该衬底上之前,移除该硬掩模。
17.一种用于处理衬底的方法,该方法包括:
接收具有不平坦形貌的衬底,该不平坦形貌包括凸起区域以及凹陷区域;
将硬掩模沉积在该衬底上;
对该硬掩模进行蚀刻以在该凸起区域的顶表面之上以及从该凹陷区域的表面的第一部分移除该硬掩模,该硬掩模的一部分留在该凹陷区域的表面的第二部分上;
将表面处理施加到该凸起区域的顶表面以及该凹陷区域的表面的第三部分,而不将该表面处理施加到留在该凹陷区域的表面的该第二部分上的硬掩模,该表面处理为填充材料提供去湿润表面状况;
通过旋涂沉积将该填充材料沉积在该衬底上,使得该填充材料填充介于该凸起区域和该表面处理之间的该凹陷区域,而不附着到该表面处理,该表面处理施加到该凹陷区域的表面的该第三部分;
移除该表面处理;以及
通过旋涂沉积将平坦化膜沉积在该衬底上,该平坦化膜沉积在该凸起区域的顶表面上以及该填充材料的顶表面上。
18.根据权利要求17所述的方法,其中,该凹陷区域的表面的该第三部分包括该凹陷区域的所有该第一部分。
19.根据权利要求17所述的方法,其中,该硬掩模是旋涂式碳硬掩模。
20.根据权利要求17所述的方法,其中,通过旋涂沉积将该填充材料沉积在该衬底上包括:将该填充材料沉积在该硬掩模的留在该凹陷区域的表面的该第二部分上的该部分上。
21.根据权利要求17所述的方法,其中,将该表面处理施加到该凸起区域的顶表面以及该凹陷区域的表面的该第三部分包括:将自组装单层沉积在该凸起区域的顶表面上以及该凹陷区域的表面的该第三部分上,该自组装单层为该填充材料提供该去湿润表面状况。
CN202080042922.2A 2019-06-12 2020-06-09 半导体器件的平坦化 Pending CN114127895A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962860359P 2019-06-12 2019-06-12
US62/860,359 2019-06-12
PCT/US2020/036778 WO2020251927A1 (en) 2019-06-12 2020-06-09 Planarization of semiconductor devices

Publications (1)

Publication Number Publication Date
CN114127895A true CN114127895A (zh) 2022-03-01

Family

ID=73744894

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080042922.2A Pending CN114127895A (zh) 2019-06-12 2020-06-09 半导体器件的平坦化

Country Status (5)

Country Link
US (1) US11456185B2 (zh)
KR (1) KR20220020834A (zh)
CN (1) CN114127895A (zh)
TW (1) TW202113977A (zh)
WO (1) WO2020251927A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114609868A (zh) * 2022-05-12 2022-06-10 合肥晶合集成电路股份有限公司 一种光阻缺陷的验证方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4576834A (en) * 1985-05-20 1986-03-18 Ncr Corporation Method for forming trench isolation structures
US20040183220A1 (en) * 2003-03-18 2004-09-23 Avinash Dalmia Ultra thin layer coating using self-assembled molecules as a separating layer for diffraction grating application
US7985677B2 (en) * 2004-11-30 2011-07-26 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing semiconductor device
US9761262B2 (en) * 2008-07-02 2017-09-12 Seagate Technology Llc Planarization methodology for topographically challenged media surface
US8415252B2 (en) * 2010-01-07 2013-04-09 International Business Machines Corporation Selective copper encapsulation layer deposition
US9281207B2 (en) * 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
US9349604B2 (en) * 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
WO2018031926A1 (en) * 2016-08-11 2018-02-15 Tokyo Electron Limited Method for etch-based planarization of a substrate
US10290804B2 (en) 2017-01-23 2019-05-14 Sandisk Technologies Llc Nanoparticle-based resistive memory device and methods for manufacturing the same
WO2018182637A1 (en) * 2017-03-30 2018-10-04 Intel Corporation Bottom-up fill using blocking layers and adhesion promoters
US10998221B2 (en) * 2017-07-14 2021-05-04 Micron Technology, Inc. Semiconductor constructions having fluorocarbon material
US10586734B2 (en) * 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114609868A (zh) * 2022-05-12 2022-06-10 合肥晶合集成电路股份有限公司 一种光阻缺陷的验证方法

Also Published As

Publication number Publication date
KR20220020834A (ko) 2022-02-21
TW202113977A (zh) 2021-04-01
WO2020251927A1 (en) 2020-12-17
US20200395224A1 (en) 2020-12-17
JP2022537125A (ja) 2022-08-24
US11456185B2 (en) 2022-09-27

Similar Documents

Publication Publication Date Title
US8921030B2 (en) Tone inversion of self-assembled self-aligned structures
CN107112212B (zh) 使用接枝聚合物材料图案化基底
US7767099B2 (en) Sub-lithographic interconnect patterning using self-assembling polymers
US7993816B2 (en) Method for fabricating self-aligned nanostructure using self-assembly block copolymers, and structures fabricated therefrom
KR100791443B1 (ko) 제조 방법
JP6219674B2 (ja) ブロック共重合体を使用したエッチング
US9230820B2 (en) Method for directed self-assembly (DSA) of a block copolymer (BCP) using a blend of a BCP with functional homopolymers
US20170301552A1 (en) Method for Patterning a Substrate Using a Layer with Multiple Materials
WO2013126135A1 (en) Dual hard mask lithography process
CN109564875B (zh) 基底的基于蚀刻的平坦化方法
US20120183743A1 (en) Two-dimensional patterning employing self-assembled material
WO2011152959A2 (en) Methods of forming patterns on substrates
KR101759817B1 (ko) 방향성 자동 조립 케모 에피택시 애플리케이션들에서 유기막을 제거하기 위한 트랙 처리
NL2007161A (en) Lithography using self-assembled polymers.
CN110993566A (zh) 一种定向自组装和掩膜调控制备半导体纳米结构的方法
EP3062334B1 (en) Method for patterning an underlying layer
US20150050599A1 (en) Methods of providing patterned epitaxy templates for self-assemblable block copolymers for use in device lithography
CN111261586B (zh) 一种中孔半导体纳米结构的制作方法
WO2017189993A1 (en) Method for patterning a substrate using a layer with multiple materials
US20160342089A1 (en) Method for directed self-assembly (dsa) of a block copolymer (bcp) using a topographic pattern
US11456185B2 (en) Planarization of semiconductor devices
TWI721231B (zh) 次解析度基板圖案化方法
US9613807B2 (en) Methods for fabricating integrated circuits using directed self-assembly chemoepitaxy
JP7492307B2 (ja) 半導体デバイスの平坦化
CN110993565A (zh) 一种定向自组装制备半导体纳米器件结构的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination