CN113674250B - Photomask defect detection method and device, electronic equipment, storage medium and chip - Google Patents

Photomask defect detection method and device, electronic equipment, storage medium and chip Download PDF

Info

Publication number
CN113674250B
CN113674250B CN202110979798.9A CN202110979798A CN113674250B CN 113674250 B CN113674250 B CN 113674250B CN 202110979798 A CN202110979798 A CN 202110979798A CN 113674250 B CN113674250 B CN 113674250B
Authority
CN
China
Prior art keywords
pattern
photomask
coordinate
production
beam exposure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202110979798.9A
Other languages
Chinese (zh)
Other versions
CN113674250A (en
Inventor
李树平
宋文康
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Changxin Memory Technologies Inc
Original Assignee
Changxin Memory Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Changxin Memory Technologies Inc filed Critical Changxin Memory Technologies Inc
Priority to CN202110979798.9A priority Critical patent/CN113674250B/en
Priority to PCT/CN2021/120259 priority patent/WO2023024209A1/en
Publication of CN113674250A publication Critical patent/CN113674250A/en
Application granted granted Critical
Publication of CN113674250B publication Critical patent/CN113674250B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T5/00Image enhancement or restoration
    • G06T5/50Image enhancement or restoration using two or more images, e.g. averaging or subtraction
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20212Image combination
    • G06T2207/20224Image subtraction
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

The application discloses a photomask defect detection method, a device, electronic equipment, a storage medium and a chip, and belongs to the technical field of semiconductors, wherein the photomask defect detection method comprises the following steps: acquiring a production-level electron beam exposure system file of a photomask; detecting the mark of any pattern in the production-level electron beam exposure system file to obtain a reference pattern; and calling an exclusive OR operation function of the production-level electron beam exposure system, and comparing whether the pattern to be detected is identical to the reference pattern, wherein the pattern to be detected is a pattern repeated with the reference pattern. The method realizes the automatic detection of the photomask defects, can save labor cost and has accurate detection result.

Description

Photomask defect detection method and device, electronic equipment, storage medium and chip
Technical Field
The present application relates to the field of semiconductor technologies, and in particular, to a method and apparatus for detecting defects of a photomask, an electronic device, a storage medium, and a chip.
Background
A photomask, also called a photomask, called Mask, is a master made of quartz and used in semiconductor exposure processes.
In the conventional semiconductor device manufacturing technique, a circuit pattern of a semiconductor device is formed by transferring the circuit pattern onto a surface of a wafer through a photomask.
In the semiconductor manufacturing process, the defect detection process flow of the photomask is an indispensable link, and the existing photomask detection mode is manual detection, and is time-consuming and labor-consuming.
Disclosure of Invention
The application aims to provide a photomask defect detection method, a photomask defect detection device, electronic equipment, a storage medium and a chip, which at least solve the problem that the existing photomask defect detection is time-consuming and labor-consuming.
The technical scheme of the application is as follows:
according to a first aspect of an embodiment of the present application, there is provided a method for detecting a photomask defect, the method including: acquiring a production-level electron beam exposure system file of a photomask; detecting the mark of any pattern in the production-level electron beam exposure system file to obtain a reference pattern; and calling an exclusive OR operation function of the production-level electron beam exposure system, and comparing whether the pattern to be detected is identical to the reference pattern, wherein the pattern to be detected is a pattern repeated with the reference pattern.
Further, invoking the exclusive or operation function of the production-level electron beam exposure system to compare whether the pattern to be detected is the same as the reference pattern may include: acquiring a pattern coordinate book of a photomask; the pattern coordinate book is led into a production-level electron beam exposure system; positioning the reference coordinates on the reference pattern, positioning the operation coordinates on the pattern to be detected, calling an exclusive-OR operation function of the production-level electron beam exposure system to carry out exclusive-OR operation, and comparing whether the pattern to be detected is identical with the reference pattern.
Further, positioning the reference coordinates on the reference pattern and positioning the operation coordinates on the pattern to be detected may include: extending the reference coordinates outwards by a preset distance based on the origin of coordinates to form a reference coordinate area; positioning the reference coordinate region at the reference pattern; extending the operation coordinates outwards by a preset distance based on the origin of coordinates to form an operation coordinate area; and positioning the operation coordinate area on the pattern to be detected.
Further, comparing whether the pattern to be detected is the same as the reference pattern may specifically be: subtracting each mark of the pattern to be detected from the mark corresponding to the reference pattern.
Further, before acquiring the production-level electron beam exposure system file of the photomask, the photomask defect detection method may further include: and obtaining a graphic data stream file of the photomask, and converting the graphic data stream file into a production-level electron beam exposure system file.
Further, after comparing whether the pattern to be detected is the same as the reference pattern, the method for detecting a photomask defect may further include: if the exclusive OR operation result is 0, determining that the photomask is a normal photomask, and sending out an abnormality-free prompt.
Further, after comparing whether the pattern to be detected is the same as the reference pattern, the method for detecting a photomask defect may further include: if the exclusive OR operation result is 1, determining that the photomask is an abnormal photomask, and sending out an abnormal prompt.
According to a second aspect of an embodiment of the present application, there is provided a photomask defect detecting apparatus, which may include: the acquisition module is used for acquiring a production-level electron beam exposure system file of the photomask; the reference pattern determining module is used for detecting the identification of any pattern in the production-level electron beam exposure system file to obtain a reference pattern; the comparison detection module is used for calling the exclusive or operation function of the production-level electron beam exposure system, comparing whether the pattern to be detected is identical with the reference pattern, and the pattern to be detected is a pattern repeated with the reference pattern.
Further, the contrast detection module may include: a coordinate book acquisition unit for acquiring a pattern coordinate book of the photomask; a coordinate book introduction unit for introducing the pattern coordinate book into the production-level electron beam exposure system; and the comparison detection unit is used for positioning the reference coordinates on the reference pattern, positioning the operation coordinate on the pattern to be detected, calling an exclusive-OR operation function of the production-level electron beam exposure system to carry out exclusive-OR operation, and comparing whether the pattern to be detected is identical with the reference pattern.
Further, the contrast detection unit may include: a reference coordinate region generation subunit, configured to extend the reference coordinates outwards by a preset distance based on the origin of coordinates to form a reference coordinate region; a reference positioning subunit for positioning the reference coordinate area to the reference pattern; the operation coordinate region generation subunit is used for extending the operation coordinates outwards by a preset distance based on the origin of coordinates to form an operation coordinate region; and the operation positioning subunit is used for positioning the operation coordinate area to the pattern to be detected.
Further, the contrast detection unit may specifically be configured to: subtracting each mark of the pattern to be detected from the mark corresponding to the reference pattern.
Further, the mask defect detecting device further includes: and the graphic data stream file conversion module is used for acquiring the graphic data stream file of the photomask and converting the graphic data stream file into a production-level electron beam exposure system file.
Further, the mask defect detecting device may further include: and the first prompting module is used for determining that the photomask is a normal photomask and sending out an abnormality-free prompt when the exclusive OR operation result is 0.
Further, the mask defect detecting device may further include: and the second prompting module is used for determining that the photomask is an abnormal photomask and sending out an abnormal prompt when the exclusive OR operation result is 1.
According to a third aspect of embodiments of the present application, there is provided an electronic device, which may include:
a processor;
a memory for storing processor-executable instructions;
wherein the processor is configured to execute instructions to implement a method of reticle defect detection as shown in any one of the embodiments of the first aspect.
According to a fourth aspect of embodiments of the present application, there is provided a storage medium, which when executed by a processor of an information processing apparatus or a server, causes the information processing apparatus or the server to implement the method for detecting a reticle defect as shown in any one of the embodiments of the first aspect.
According to a fifth aspect of the embodiments of the present application, there is provided a chip, the chip including a processor and a communication interface, the communication interface being coupled to the processor, the processor being configured to execute a program or instructions to implement the processes of the above-mentioned embodiments of the method for detecting a photomask defect.
The technical scheme provided by the embodiment of the application at least has the following beneficial effects:
according to the embodiment of the application, the production-level electron beam exposure system file of the photomask is obtained, and the identification of any pattern in the production-level electron beam exposure system file is detected to obtain a reference pattern; and the exclusive OR operation function of the production-level electron beam exposure system is called, and whether the pattern to be detected is identical with the reference pattern is compared, so that the automatic detection of the photomask defect is realized, the detection method has accurate result, and the labor cost is saved.
Drawings
FIG. 1 is a schematic diagram illustrating a mask defect detection flow according to an exemplary embodiment;
FIG. 2 is a schematic diagram of a mask defect detection apparatus according to an exemplary embodiment;
FIG. 3 is a schematic diagram of a ratio detection module configuration shown in accordance with an exemplary embodiment;
fig. 4 is a schematic diagram showing a structure of a ratio detection unit according to an exemplary embodiment;
FIG. 5 is a schematic diagram of a mask defect detection apparatus according to another exemplary embodiment;
FIG. 6 is a schematic diagram of a mask defect detecting device according to an embodiment;
FIG. 7 is a schematic diagram of a mask defect detection apparatus according to another embodiment;
FIG. 8 is a schematic diagram of an electronic device structure shown in accordance with an exemplary embodiment;
fig. 9 is a schematic diagram showing a hardware structure of an electronic device according to an exemplary embodiment.
Detailed Description
In order to enable a person skilled in the art to better understand the technical solutions of the present application, the technical solutions of the embodiments of the present application will be clearly and completely described below with reference to the accompanying drawings.
It should be noted that the terms "first," "second," and the like in the description and the claims of the present application and the above figures are used for distinguishing between similar objects and not necessarily for describing a particular sequential or chronological order. It is to be understood that the data so used may be interchanged where appropriate such that the embodiments of the application described herein may be implemented in sequences other than those illustrated or otherwise described herein. The implementations described in the following exemplary examples do not represent all implementations consistent with the application. Rather, they are merely examples of apparatus and methods consistent with aspects of the application as detailed in the accompanying claims.
Currently, the last inspection (job depth view, abbreviated as JDV) of a photomask is performed manually by an engineer. The last inspection process requires checking whether all patterns (patterns in english) are correct, takes about 1 hour for the last inspection of each photomask, is extremely time consuming, and is prone to error. Therefore, the application provides a method for detecting defects of a photomask to improve the last inspection rate of the photomask.
The method for detecting the defects of the photomask provided by the embodiment of the application is described in detail below by means of specific embodiments and application scenes thereof with reference to the accompanying drawings.
As shown in fig. 1, in a first aspect of an embodiment of the present application, a method for detecting a photomask defect is provided, which may include:
s110: acquiring a production-level electron beam exposure system file of a photomask;
s120: detecting the mark of any pattern in the production-level electron beam exposure system file to obtain a reference pattern;
s130: and calling an exclusive OR operation function of the production-level electron beam exposure system, and comparing whether the pattern to be detected is identical to the reference pattern, wherein the pattern to be detected is a pattern repeated with the reference pattern.
The method comprises the steps of obtaining a production-level electron beam exposure system file of a photomask, detecting the identification of any pattern in the production-level electron beam exposure system file, and obtaining a reference pattern; and the exclusive OR operation function of the production-level electron beam exposure system is called, and whether the pattern to be detected is identical with the reference pattern is compared, so that the automatic detection of the photomask defect is realized, the detection method has accurate result, and the labor cost is saved.
For a clearer description, the following description of the above method steps will be given separately:
first, in step S110, a production-level e-beam exposure system file of a photomask is acquired.
In this step, the production-level electron beam exposure system file (Manufacturing Electron-Beam Exposure System, abbreviated as MEBES) may be 4 times of the graphic data stream file (Graphic Data Stream, abbreviated as GDS) in general, and the graphic data stream file is the original data on the wafer, and the production-level electron beam exposure system file corresponds to the data of the actual mask fabrication, because the graphic of the mask plate is reduced by 4 times and then is put on the wafer surface.
Next, step S120 is described, where the identification of any pattern in the production-level electron beam exposure system file is detected, so as to obtain a reference pattern.
In this step, the file of the production-level e-beam exposure system may include a plurality of patterns (english: pattern), and each pattern may include a plurality of marks (english: mark). In order to provide a reference, a pattern may be detected in advance as a reference pattern.
For example, a pattern may be identified by manual inspection, with the pattern being used as a reference pattern.
Alternatively, a pattern may be automatically detected and identified by a machine learning model, and the pattern may be used as a reference pattern.
Finally, step S130 is introduced, in which the xor operation function of the production-level electron beam exposure system is invoked to compare whether the pattern to be detected is identical to the reference pattern.
The exclusive or function in this step is applied to a logical operation. The mathematical sign of exclusive OR is ' the ' sign ', and the computer sign is ' xor '. The algorithm is as follows:if the two values of a and b are different, the exclusive-or result is 1, and if the two values of a and b are the same, the exclusive-or result is 0. And comparing whether the pattern to be detected is identical with the reference pattern or not by using an exclusive OR operation function.
For example, comparing whether the pattern to be detected is identical to the reference pattern may include: acquiring a pattern coordinate book of a photomask; the pattern coordinate book is led into a production-level electron beam exposure system; positioning the reference coordinates on the reference pattern, positioning the operation coordinates on the pattern to be detected, calling an exclusive-OR operation function of the production-level electron beam exposure system to carry out exclusive-OR operation, and comparing whether the pattern to be detected is identical with the reference pattern.
In this example, locating the reference coordinates to the reference pattern and locating the operational coordinates to the pattern to be inspected may include: extending the reference coordinates outwards by a preset distance based on the origin of coordinates to form a reference coordinate area; positioning the reference coordinate region at the reference pattern; extending the operation coordinates outwards by a preset distance based on the origin of coordinates to form an operation coordinate area; and positioning the operation coordinate area on the pattern to be detected.
The process of realizing automatic detection by the exclusive or operation function in the step is to subtract each mark of the pattern to be detected from the mark corresponding to the reference pattern. The result of the operation may be 0 or 1. If the exclusive OR operation result is 0, determining that the photomask is a normal photomask, and sending out an abnormality-free prompt. If the exclusive OR operation result is 1, determining that the photomask is an abnormal photomask, and sending out an abnormal prompt.
In the actual photomask production and manufacturing process, the actual condition of the pattern to be detected is not necessarily consistent with the reference pattern due to the problem of equipment precision or the problem of manufacturing process, so that the last inspection is needed. The current last inspection is performed manually, and each mask inspection takes at least 1 hour. The step utilizes the exclusive OR operation function of the production-level electron beam exposure system to realize the automatic detection of the photomask, greatly improves the photomask detection efficiency and saves the labor cost.
In some optional embodiments of the present application, invoking the xor function of the production-level e-beam exposure system to compare whether the pattern to be detected is the same as the reference pattern may include:
acquiring a pattern coordinate book of a photomask;
the pattern coordinate book is led into a production-level electron beam exposure system;
positioning the reference coordinates on the reference pattern, positioning the operation coordinates on the pattern to be detected, calling an exclusive-OR operation function of the production-level electron beam exposure system to carry out exclusive-OR operation, and comparing whether the pattern to be detected is identical with the reference pattern.
In the embodiment, the pattern to be detected and the reference pattern are positioned by using the coordinate book, the discharge positions of the patterns at different positions are determined, and the marks are performed. Specifically, L may represent that the pattern is placed from left to right, and T may represent that the pattern is placed from top to bottom; l, R, T may represent that the pattern is a row of placements, and L1, R1, T1, B1 may represent that the pattern is a greater than row of placements.
In some alternative embodiments of the present application, positioning the reference coordinates to the reference pattern and positioning the operational coordinates to the pattern to be inspected may include: extending the reference coordinates outwards by a preset distance based on the origin of coordinates to form a reference coordinate area; positioning the reference coordinate region at the reference pattern; extending the operation coordinates outwards by a preset distance based on the origin of coordinates to form an operation coordinate area; and positioning the operation coordinate area on the pattern to be detected.
In some optional embodiments of the present application, comparing whether the pattern to be detected is the same as the reference pattern may specifically be: subtracting each mark of the pattern to be detected from the mark corresponding to the reference pattern.
In the above embodiment, before detection, the detection coordinates of the XOR operation function need to be extended outwards by a preset size, which may be 10-30, for example, 21.25, and the detection coordinates extend outwards by 21.25 (the coordinate size is 42.5×42.5), and the detection coordinates are subtracted from the pattern to be detected based on the reference pattern, and whether the XOR structure is abnormal is checked.
In some alternative embodiments of the present application, the method for detecting defects in a photomask further comprises, prior to acquiring a production-level electron beam exposure system file of the photomask: and obtaining a graphic data stream file of the photomask, and converting the graphic data stream file into a production-level electron beam exposure system file.
In some optional embodiments of the present application, after comparing whether the pattern to be detected is the same as the reference pattern, the method for detecting a photomask defect may further include: if the exclusive OR operation result is 0, determining that the photomask is a normal photomask, and sending out an abnormality-free prompt.
The implementation is to carry out no-abnormality prompt on the photomask with normal detection result, so as to prompt the staff to carry out the next photomask detection.
In some optional embodiments of the present application, after comparing whether the pattern to be detected is the same as the reference pattern, the method for detecting a photomask defect may further include: if the exclusive OR operation result is 1, determining that the photomask is an abnormal photomask, and sending out an abnormal prompt.
In the implementation, the mask with abnormal detection results is subjected to abnormality prompt, so that workers are prompted to perform manual mask detection.
The method comprises the steps of obtaining a production-level electron beam exposure system file of a photomask, detecting the identification of any pattern in the production-level electron beam exposure system file, and obtaining a reference pattern; and the exclusive OR operation function of the production-level electron beam exposure system is called to compare whether the pattern to be detected is the same as the reference pattern, thereby realizing the automatic detection of the photomask defect and saving the labor cost.
It should be noted that, in the method for detecting a photomask defect according to the embodiment of the present application, the execution body may be a photomask defect detecting device, or a control module for executing the method for detecting a photomask defect in the photomask defect detecting device. In the embodiment of the present application, a method for performing mask defect detection by using a mask defect detection device is taken as an example, and the mask defect detection device provided by the embodiment of the present application is described.
As shown in fig. 2, in a second aspect of the embodiment of the present application, there is provided a photomask defect detecting apparatus, which may include:
an acquisition module 210, configured to acquire a production-level electron beam exposure system file of the photomask;
the reference pattern determining module 220 is configured to detect an identifier of an arbitrary pattern in the production-level electron beam exposure system file, so as to obtain a reference pattern;
the contrast detection module 230 is configured to invoke an exclusive or operation function of the production-level e-beam exposure system, compare whether the pattern to be detected is identical to the reference pattern, and the pattern to be detected is a pattern that is repeated with the reference pattern.
The device of the embodiment obtains the reference pattern by using the obtaining module 210 to obtain the production-level electron beam exposure system file of the photomask and using the reference pattern determining module 220 to detect the mark of any pattern in the production-level electron beam exposure system file; and the comparison detection module 230 is utilized to call the exclusive or operation function of the production-level electron beam exposure system to compare whether the pattern to be detected is the same as the reference pattern, thereby realizing the automatic detection of the photomask defect and saving the labor cost.
As shown in fig. 3, in some alternative embodiments of the present application, the contrast detection module 230 may include:
a coordinate book acquisition unit 231 for acquiring a pattern coordinate book of the photomask;
a coordinate book introduction unit 232 for introducing the pattern coordinate book into the production-level electron beam exposure system;
the comparison detection unit 233 is configured to locate the reference coordinates on the reference pattern, locate the operation coordinates on the pattern to be detected, invoke an exclusive or operation function of the production-level electron beam exposure system to perform exclusive or operation, and compare whether the pattern to be detected is identical to the reference pattern.
As shown in fig. 4, in some alternative embodiments of the present application, the contrast detection unit 233 may include:
a reference coordinate region generation subunit 2331 configured to extend the reference coordinates outward by a preset distance based on the origin of coordinates to form a reference coordinate region;
a reference positioning subunit 2332 for positioning the reference coordinate region to the reference pattern;
an operation coordinate region generation subunit 2333, configured to extend the operation coordinates outwards by a preset distance based on the origin of coordinates to form an operation coordinate region;
an operation positioning subunit 2334 is configured to position the operation coordinate area on the pattern to be detected.
In some alternative embodiments of the present application, the contrast detection unit 233 is specifically configured to:
subtracting each mark of the pattern to be detected from the mark corresponding to the reference pattern.
As shown in fig. 5, in some alternative embodiments of the present application, the mask defect detecting apparatus may further include:
the graphic data stream file conversion module 240 is configured to obtain a graphic data stream file of the photomask, and convert the graphic data stream file into a production-level e-beam exposure system file.
As shown in fig. 6, in some alternative embodiments of the present application, the mask defect detecting apparatus may further include: the first prompting module 250 is configured to determine that the mask is a normal mask and send out an abnormality-free prompt when the exclusive or operation result is 0. Thus, the worker can smoothly manufacture the mask.
In order to enable inspection and positioning of a defective reticle, as shown in fig. 7, in some alternative embodiments of the present application, the reticle defect inspection apparatus further includes: the second prompting module 260 is configured to determine that the mask is an abnormal mask and send out an abnormal prompt when the exclusive or operation result is 1. So that the operator is immediately reminded to detect and timely rectify when detecting that the photomask has defects.
The device in the above embodiment performs pattern detection of the photomask by using the acquisition module 210, the reference pattern determination module 220, the comparison detection module 230, the graphic data stream file conversion module 240, the first prompting module 250 and the second prompting module 260, thereby realizing automatic detection of the photomask defect, saving labor cost and having accurate detection result.
The photomask defect detection device in the embodiment of the application can be mobile electronic equipment, non-mobile electronic equipment, and a component, an integrated circuit or a chip in a terminal. By way of example, the mobile electronic device may be a cell phone, tablet computer, notebook computer, palm computer, vehicle mounted electronic device, wearable device, ultra-mobile personal computer (ultra-mobile personal computer, UMPC), netbook or personal digital assistant (personal digital assistant, PDA), etc., and the non-mobile electronic device may be a server, network attached storage (Network Attached Storage, NAS), personal computer (personal computer, PC), television (TV), teller machine or self-service machine, etc., and embodiments of the present application are not limited in particular.
The mask defect detection device in the embodiment of the application can be a device with an operating system. The operating system may be an Android operating system, an ios operating system, or other possible operating systems, and the embodiment of the present application is not limited specifically.
The photomask defect detection device provided by the embodiment of the present application can implement each process implemented by the method embodiment of fig. 1, and in order to avoid repetition, a detailed description is omitted here.
Optionally, as shown in fig. 8, an electronic device 800 is further provided in the embodiment of the present application, which includes a processor 801, a memory 802, and a program or an instruction stored in the memory 802 and capable of running on the processor 801, where the program or the instruction implements each process of the above-mentioned embodiment of the mask defect detection method when executed by the processor 801, and the process can achieve the same technical effect, and for avoiding repetition, a detailed description is omitted herein.
The electronic device in the embodiment of the application includes the mobile electronic device and the non-mobile electronic device.
Fig. 9 is a schematic hardware structure of an electronic device implementing an embodiment of the present application.
The hardware 900 of the electronic device includes, but is not limited to: radio frequency unit 901, network module 902, audio output unit 903, input unit 904, sensor 905, display unit 906, user input unit 907, interface unit 908, memory 909, and processor 910.
Those skilled in the art will appreciate that the hardware 900 of the electronic device may further include a power source (e.g., a battery) for powering the various components, and that the power source may be logically coupled to the processor 910 by a power management system to perform functions such as managing charging, discharging, and managing power consumption by the power management system. The electronic device structure shown in fig. 9 does not constitute a limitation of the electronic device, and the electronic device may include more or less components than shown, or may combine certain components, or may be arranged in different components, which are not described in detail herein.
The processor 910 is configured to invoke an xor operation function of the production-level e-beam exposure system, compare whether a pattern to be detected is the same as the reference pattern, and the pattern to be detected is a pattern that is repeated with the reference pattern.
A display unit 906, configured to display the detection result, i.e. the result of the exclusive-or operation.
The electronic device of the above embodiment obtains the reference pattern by obtaining the production-level electron beam exposure system file of the photomask, and detecting the identification of any pattern in the production-level electron beam exposure system file; and the exclusive OR operation function of the production-level electron beam exposure system is called to compare whether the pattern to be detected is the same as the reference pattern, thereby realizing the automatic detection of the photomask defect and saving the labor cost.
It should be appreciated that in an embodiment of the present application, the input unit 904 may include a graphics processor (Graphics Processing Unit, GPU) 9041 and a microphone 9042, and the graphics processor 9041 processes image data of still pictures or video obtained by an image capturing device (such as a camera) in a video capturing mode or an image capturing mode. The display unit 906 may include a display panel 9061, and the display panel 9061 may be configured in the form of a liquid crystal display, an organic light emitting diode, or the like. The user input unit 907 includes a touch panel 9071 and other input devices 9072. Touch panel 9071, also referred to as a touch screen. The touch panel 9071 may include two parts, a touch detection device and a touch controller. Other input devices 9072 may include, but are not limited to, a physical keyboard, function keys (e.g., volume control keys, switch keys, etc.), a trackball, a mouse, a joystick, and so forth, which are not described in detail herein. Memory 909 may be used to store software programs as well as various data including, but not limited to, application programs and an operating system. The processor 910 may integrate an application processor that primarily handles operating systems, user interfaces, applications, etc., with a modem processor that primarily handles wireless communications. It will be appreciated that the modem processor described above may not be integrated into the processor 910.
The embodiment of the application also provides a readable storage medium, on which a program or an instruction is stored, which when executed by a processor, implements each process of the above-mentioned embodiment of the method for detecting a photomask defect, and can achieve the same technical effects, so that repetition is avoided, and no further description is given here.
Wherein the processor is a processor in the electronic device described in the above embodiment. The readable storage medium includes a computer readable storage medium such as a Read-Only Memory (ROM), a random access Memory (Random Access Memory, RAM), a magnetic disk or an optical disk, and the like.
The embodiment of the application further provides a chip, which comprises a processor and a communication interface, wherein the communication interface is coupled with the processor, and the processor is used for running programs or instructions to realize the processes of the embodiment of the photomask defect detection method, and the same technical effects can be achieved, so that repetition is avoided, and the description is omitted here.
It should be understood that the chips referred to in the embodiments of the present application may also be referred to as system-on-chip chips, chip systems, or system-on-chip chips, etc.
It should be noted that, in this document, the terms "comprises," "comprising," or any other variation thereof, are intended to cover a non-exclusive inclusion, such that a process, method, article, or apparatus that comprises a list of elements does not include only those elements but may include other elements not expressly listed or inherent to such process, method, article, or apparatus. Without further limitation, an element defined by the phrase "comprising one … …" does not exclude the presence of other like elements in a process, method, article, or apparatus that comprises the element. Furthermore, it should be noted that the scope of the methods and apparatus in the embodiments of the present application is not limited to performing the functions in the order shown or discussed, but may also include performing the functions in a substantially simultaneous manner or in an opposite order depending on the functions involved, e.g., the described methods may be performed in an order different from that described, and various steps may be added, omitted, or combined. Additionally, features described with reference to certain examples may be combined in other examples.
From the above description of the embodiments, it will be clear to those skilled in the art that the above-described embodiment method may be implemented by means of software plus a necessary general hardware platform, but of course may also be implemented by means of hardware, but in many cases the former is a preferred embodiment. Based on such understanding, the technical solution of the present application may be embodied essentially or in a part contributing to the prior art in the form of a computer software product stored in a storage medium (e.g. ROM/RAM, magnetic disk, optical disk) comprising instructions for causing a terminal (which may be a mobile phone, a computer, a server, or a network device, etc.) to perform the method according to the embodiments of the present application.
The embodiments of the present application have been described above with reference to the accompanying drawings, but the present application is not limited to the above-described embodiments, which are merely illustrative and not restrictive, and many forms may be made by those having ordinary skill in the art without departing from the spirit of the present application and the scope of the claims, which are to be protected by the present application.

Claims (16)

1. A method for detecting defects in a photomask, comprising:
acquiring a production-level electron beam exposure system file of a photomask;
detecting the mark of any pattern in the production-level electron beam exposure system file to obtain a reference pattern;
acquiring a pattern coordinate book of the photomask, and guiding the pattern coordinate book into the production-level electron beam exposure system;
extending a reference coordinate outwards by a preset distance based on a coordinate origin to form a reference coordinate area, and positioning the reference coordinate area in the reference pattern;
extending the operation coordinates outwards by a preset distance based on the origin of coordinates to form an operation coordinate area, and positioning the operation coordinate area on the pattern to be detected;
and calling an exclusive OR operation function of a production-level electron beam exposure system, and comparing whether a pattern to be detected is identical to the reference pattern, wherein the pattern to be detected is a pattern repeated with the reference pattern.
2. The method of claim 1, wherein invoking the xor function of the production-level e-beam exposure system compares whether the pattern to be inspected is identical to the reference pattern, comprising:
and calling an exclusive OR operation function of the production-level electron beam exposure system to carry out exclusive OR operation, and comparing whether the pattern to be detected is identical with the reference pattern.
3. The method for detecting a photomask defect according to claim 2, wherein comparing whether the pattern to be detected is identical to the reference pattern is specifically:
subtracting each mark of the pattern to be detected from the mark corresponding to the reference pattern.
4. A method of inspecting a reticle for defects according to any one of claims 1 to 3, wherein prior to the acquiring of the production-level e-beam exposure system file of the reticle, the method further comprises:
and obtaining the graphic data stream file of the photomask, and converting the graphic data stream file into the production-level electron beam exposure system file.
5. A method of inspecting a reticle defect according to any one of claims 1 to 3, wherein after comparing whether the pattern to be inspected is identical to the reference pattern, the method further comprises:
if the exclusive OR operation result is 0, determining that the photomask is a normal photomask, and sending out an abnormality-free prompt.
6. A method of inspecting a reticle defect according to any one of claims 1 to 3, wherein after comparing whether the pattern to be inspected is identical to the reference pattern, the method further comprises:
if the exclusive OR operation result is 1, determining that the photomask is an abnormal photomask, and sending out an abnormal prompt.
7. A photomask defect detecting apparatus, comprising:
the acquisition module is used for acquiring a production-level electron beam exposure system file of the photomask;
the reference pattern determining module is used for detecting the marks of any pattern in the production-level electron beam exposure system file to obtain a reference pattern;
the contrast detection module is used for acquiring a pattern coordinate book of the photomask and guiding the pattern coordinate book into the production-level electron beam exposure system; the method comprises the steps of,
the method comprises the steps of forming a reference coordinate area by extending a reference coordinate outwards by a preset distance based on a coordinate origin, and positioning the reference coordinate area in the reference pattern; the method comprises the steps of,
the method comprises the steps of extending an operation coordinate outwards by a preset distance based on a coordinate origin to form an operation coordinate area, and positioning the operation coordinate area on a pattern to be detected; the method comprises the steps of,
and the exclusive OR operation function is used for calling the production-level electron beam exposure system, comparing whether the pattern to be detected is the same as the reference pattern, and the pattern to be detected is a pattern repeated with the reference pattern.
8. The reticle defect detection apparatus of claim 7, wherein the contrast detection module comprises:
a coordinate book acquisition unit for acquiring a pattern coordinate book of the photomask;
and a coordinate book introducing unit for introducing the pattern coordinate book into the production-grade electron beam exposure system.
9. The reticle defect detection apparatus of claim 8, wherein the contrast detection module further comprises a contrast detection unit; the contrast detection unit includes:
a reference coordinate region generation subunit, configured to extend the reference coordinates outwards by a preset distance based on the origin of coordinates to form a reference coordinate region;
a reference positioning subunit configured to position the reference coordinate area to the reference pattern;
the operation coordinate region generation subunit is used for extending the operation coordinates outwards by a preset distance based on the origin of coordinates to form an operation coordinate region;
an operation positioning subunit, configured to position the operation coordinate area to the pattern to be detected;
the comparison detection unit compares whether the pattern to be detected is identical with the reference pattern by calling an exclusive OR operation function of the production-level electron beam exposure system to carry out exclusive OR operation.
10. The device according to claim 9, wherein the contrast detection unit is specifically configured to:
subtracting each mark of the pattern to be detected from the mark corresponding to the reference pattern.
11. The mask defect detection apparatus according to any one of claims 7 to 10, wherein the mask defect detection apparatus further comprises:
and the graphic data stream file conversion module is used for acquiring the graphic data stream file of the photomask and converting the graphic data stream file into the production-level electron beam exposure system file.
12. The mask defect detection apparatus according to any one of claims 7 to 10, wherein the mask defect detection apparatus further comprises:
and the first prompting module is used for determining that the photomask is a normal photomask and sending out an abnormality-free prompt when the exclusive OR operation result is 0.
13. The mask defect detection apparatus according to any one of claims 7 to 10, wherein the mask defect detection apparatus further comprises:
and the second prompting module is used for determining that the photomask is an abnormal photomask and sending out an abnormal prompt when the exclusive OR operation result is 1.
14. An electronic device, comprising: comprising a processor, a memory and a program or instructions stored on the memory and executable on the processor, which program or instructions when executed by the processor implement the steps of the method for detecting a reticle defect according to any one of claims 1 to 6.
15. A readable storage medium, wherein a program or instructions is stored on the readable storage medium, which when executed by a processor, implements the steps of the method for detecting a reticle defect according to any one of claims 1 to 6.
16. A chip comprising a processor and a communication interface, the communication interface being coupled to the processor, the processor being configured to execute programs or instructions for implementing the steps of the method for detecting a reticle defect according to any one of claims 1 to 6.
CN202110979798.9A 2021-08-25 2021-08-25 Photomask defect detection method and device, electronic equipment, storage medium and chip Active CN113674250B (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN202110979798.9A CN113674250B (en) 2021-08-25 2021-08-25 Photomask defect detection method and device, electronic equipment, storage medium and chip
PCT/CN2021/120259 WO2023024209A1 (en) 2021-08-25 2021-09-24 Mask defect detection method and apparatus, electronic device, storage medium, and chip

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110979798.9A CN113674250B (en) 2021-08-25 2021-08-25 Photomask defect detection method and device, electronic equipment, storage medium and chip

Publications (2)

Publication Number Publication Date
CN113674250A CN113674250A (en) 2021-11-19
CN113674250B true CN113674250B (en) 2023-10-20

Family

ID=78546001

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110979798.9A Active CN113674250B (en) 2021-08-25 2021-08-25 Photomask defect detection method and device, electronic equipment, storage medium and chip

Country Status (2)

Country Link
CN (1) CN113674250B (en)
WO (1) WO2023024209A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116758073A (en) * 2023-08-17 2023-09-15 粤芯半导体技术股份有限公司 Mask plate data detection method and system

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001056306A (en) * 1999-08-19 2001-02-27 Jeol Ltd Sample surface inspecting device
JP2004363203A (en) * 2003-06-03 2004-12-24 Sony Corp Mask substrate inspection method and semiconductor manufacturing apparatus
JP2008145850A (en) * 2006-12-12 2008-06-26 Dainippon Printing Co Ltd Verification method for photomask drawing layout
TW200937554A (en) * 2008-01-31 2009-09-01 Hermes Microvision Inc Smart defect review for semiconductor integrated
TW201107741A (en) * 2009-08-18 2011-03-01 Nuflare Technology Inc Inspection system
JP2012078554A (en) * 2010-10-01 2012-04-19 Toppan Printing Co Ltd Mask inspection device, drawing method, and wafer exposure method
TW201440120A (en) * 2013-02-22 2014-10-16 Hoya Corp Method for manufacturing reflective mask blank, and method for manufacturing reflective mask
CN109085466A (en) * 2018-07-13 2018-12-25 上海华力集成电路制造有限公司 Light shield Electro-static Driven Comb defect inspection method
WO2020095959A1 (en) * 2018-11-07 2020-05-14 Hoya株式会社 Multilayer reflection film-provided substrate, reflective mask blank, reflective mask producing method, and semiconductor device producing method
DE102019209392A1 (en) * 2019-06-27 2020-07-09 Carl Zeiss Smt Gmbh Method and device for identifying at least one defect of a photolithographic mask in an image of a repair device for the photolithographic mask

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005158780A (en) * 2003-11-20 2005-06-16 Hitachi Ltd Method and device for inspecting defect of pattern
JP4866141B2 (en) * 2006-05-11 2012-02-01 株式会社日立ハイテクノロジーズ Defect review method using SEM review device and SEM defect review device
JP5200571B2 (en) * 2008-02-18 2013-06-05 富士通セミコンダクター株式会社 Semiconductor device and photomask manufacturing method
JP6307367B2 (en) * 2014-06-26 2018-04-04 株式会社ニューフレアテクノロジー Mask inspection apparatus, mask evaluation method and mask evaluation system
CN110599484B (en) * 2019-09-19 2023-01-10 京东方科技集团股份有限公司 Defect detection method, device and storage medium
CN111982911B (en) * 2020-07-10 2023-08-25 深圳先进技术研究院 Method and device for detecting defects of circuit board, terminal equipment and storage medium
CN112598627A (en) * 2020-12-10 2021-04-02 广东省大湾区集成电路与系统应用研究院 Method, system, electronic device and medium for detecting image defects

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001056306A (en) * 1999-08-19 2001-02-27 Jeol Ltd Sample surface inspecting device
JP2004363203A (en) * 2003-06-03 2004-12-24 Sony Corp Mask substrate inspection method and semiconductor manufacturing apparatus
JP2008145850A (en) * 2006-12-12 2008-06-26 Dainippon Printing Co Ltd Verification method for photomask drawing layout
TW200937554A (en) * 2008-01-31 2009-09-01 Hermes Microvision Inc Smart defect review for semiconductor integrated
TW201107741A (en) * 2009-08-18 2011-03-01 Nuflare Technology Inc Inspection system
JP2012078554A (en) * 2010-10-01 2012-04-19 Toppan Printing Co Ltd Mask inspection device, drawing method, and wafer exposure method
TW201440120A (en) * 2013-02-22 2014-10-16 Hoya Corp Method for manufacturing reflective mask blank, and method for manufacturing reflective mask
CN109085466A (en) * 2018-07-13 2018-12-25 上海华力集成电路制造有限公司 Light shield Electro-static Driven Comb defect inspection method
WO2020095959A1 (en) * 2018-11-07 2020-05-14 Hoya株式会社 Multilayer reflection film-provided substrate, reflective mask blank, reflective mask producing method, and semiconductor device producing method
DE102019209392A1 (en) * 2019-06-27 2020-07-09 Carl Zeiss Smt Gmbh Method and device for identifying at least one defect of a photolithographic mask in an image of a repair device for the photolithographic mask

Also Published As

Publication number Publication date
WO2023024209A1 (en) 2023-03-02
CN113674250A (en) 2021-11-19

Similar Documents

Publication Publication Date Title
JP6080379B2 (en) Semiconductor defect classification device and program for semiconductor defect classification device
KR100882252B1 (en) Semiconductor substrate defects detection device and method of detection of defects
US8364437B2 (en) Mark arrangement inspecting method, mask data, and manufacturing method of semiconductor device
US20160071261A1 (en) Pattern analysis method of a semiconductor device
CN113674250B (en) Photomask defect detection method and device, electronic equipment, storage medium and chip
US6483937B1 (en) Process for inspecting an object
US20160188773A1 (en) Electronic design automation method and apparatus thereof
CN109670979B (en) Cloth detection data processing method, device and equipment
CN109564883A (en) System and method for the electronics bare die cover ink after automatic vision defect inspection
CN113359386B (en) Parameter analysis method and device for mask plate
CN115731208A (en) High-precision defect detection method, device and equipment for metal complex surface and storage medium
CN102944983A (en) Method for improving key dimension measurement of pattern to be measured
CN1898555B (en) Substrate inspection device
CN112819799B (en) Target defect detection method, device, system, electronic equipment and storage medium
US7356787B2 (en) Alternative methodology for defect simulation and system
CN116245808A (en) Workpiece defect detection method and device, electronic equipment and storage medium
CN113221499B (en) Mask layout generation method and device, computer equipment and storage medium
CN113468355B (en) Display mother board data management method and device
CN115953388A (en) Chip defect detection method, device, equipment, storage medium and program product
CN103646885B (en) A kind of method reducing electron microscope observation wafer defect error
CN112272968A (en) Inspection method, inspection system, and program
CN113610801B (en) Defect classification method, device, equipment and storage medium based on minimum unit
CN107221507B (en) Method for self-adaptively defining defect scanning equation scanning area
CN102865841A (en) Thickness and stability detection method of wafer edge measuring and detection tool
US7987057B1 (en) Intelligent stitching boundary defect inspection

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant