CN113075529A - 芯片老化测试方法与装置、存储介质、测试设备 - Google Patents

芯片老化测试方法与装置、存储介质、测试设备 Download PDF

Info

Publication number
CN113075529A
CN113075529A CN202110306995.4A CN202110306995A CN113075529A CN 113075529 A CN113075529 A CN 113075529A CN 202110306995 A CN202110306995 A CN 202110306995A CN 113075529 A CN113075529 A CN 113075529A
Authority
CN
China
Prior art keywords
chip
module
test
temperature
operated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110306995.4A
Other languages
English (en)
Inventor
金罗军
温佳欣
张桂玉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing Lynxi Technology Co Ltd
Original Assignee
Beijing Lynxi Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing Lynxi Technology Co Ltd filed Critical Beijing Lynxi Technology Co Ltd
Priority to CN202110306995.4A priority Critical patent/CN113075529A/zh
Publication of CN113075529A publication Critical patent/CN113075529A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/2872Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation
    • G01R31/2874Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation related to temperature
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/2872Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation

Landscapes

  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)

Abstract

本发明公开了一种芯片老化测试方法与装置、存储介质、测试设备,其中,芯片老化测试方法包括以下步骤:确定芯片中的待运行模块,并根据至少待运行模块定制测试向量;将测试向量输入芯片,以使至少待运行模块进行工作;获取芯片温度,并根据芯片温度对测试向量进行控制,以使芯片温度满足预设的测试要求。由此,该芯片老化测试方法可以不需要依靠老化板上的温度传感器,还能够更具针对性地对芯片中的模块进行测试,在降低测试成本的同时,提高了老化测试结果的准确性和有效性。

Description

芯片老化测试方法与装置、存储介质、测试设备
技术领域
本发明涉及芯片测试技术领域,尤其涉及一种芯片老化测试方法、一种计算机可读存储介质、一种测试设备和一种芯片老化测试装置。
背景技术
随着芯片规模的日益扩大,芯片中集成的模块也越来越多,使得测试所需的向量数目变多、总容量变大。在老化实验过程中,相关技术中最常用的老化测试方法是:将芯片阵列排布在老化实验板上,然后将实验板的金手指插入老化实验箱的插槽内,实验时从老化实验箱的数字通道向芯片输入老化测试向量,使整颗芯片处于工作状态,同时老化实验箱内部会有高温循环风对芯片进行加热,使芯片温度达到实验要求的标准。这种实验方案成熟且实验温度比较稳定,但存在能耗高、控温速度较慢、实验箱内不同区域间存在温差等缺陷,实验板的成本高,并且通常只会运行一些强度较低的顶层DFT(Design ForTestability,可测试性设计)测试向量,测试准确度较低,并且顶层DFT向量占用的内存较大,对老化测试的硬件要求较高,从而导致成本投入也高。
发明内容
本发明旨在至少在一定程度上解决相关技术中的技术问题之一。为此,本发明的一个目的在于提出一种芯片老化测试方法,能够降低测试成本,提高测试结果的准确性和有效性。
本发明的第二个目的在于提出一种计算机可读存储介质。
本发明的第三个目的在于提出一种测试设备。
本发明的第四个目的在于提出一种芯片老化测试装置。
为达上述目的,本发明第一方面实施例提出了一种芯片老化测试方法,该方法包括以下步骤:确定芯片中的待运行模块,并根据至少所述待运行模块定制测试向量;将所述测试向量输入所述芯片,以使至少所述待运行模块进行工作;获取芯片温度,并根据所述芯片温度对所述测试向量进行控制,以使所述芯片温度满足预设的测试要求。
根据本发明实施例的芯片老化测试方法,首先确定芯片中的待运行模块,该待运行模块可以是单个也可以是多个,并且确定待运行模块的方法有多种,在此不做具体限定。需要说明的是,该步骤所确定的待运行模块是对芯片老化影响较大的模块。在确定待运行模块之后,则可以根据待运行模块定制测试向量,并将该运行向量输入芯片中,使得至少芯片中的待运行模块进行工作,然后获取芯片温度,并根据芯片温度对测试向量进行控制,使芯片温度满足预设的测试要求,最后利用老化板对满足预设测试要求的芯片进行老化测试。本实施例中的芯片老化测试方法不需要依靠老化板上的温度传感器,同时能够更具针对性地对芯片中的模块进行测试,由此,该芯片老化测试方法能够降低测试成本,提高测试结果的准确性和有效性。
另外,根据本发明上述实施例的芯片老化测试方法还可以具有如下附加技术特征:
根据本发明的一个实施例,所述待运行模块为寿命敏感模块。
根据本发明的一个实施例,确定芯片中的待运行模块,包括:对所述芯片进行测试,以使所述芯片整体进行工作;对所述芯片进行全片测温,以获取温升最快的位置;将所述温升最快的位置所对应的模块作为所述待运行模块。
根据本发明的一个实施例,通过红外测温的方式对所述芯片进行全片测温。
根据本发明的一个实施例,在所述待运行模块进行工作时,还控制所述芯片中与所述待运行模块的温度关联模块进行工作。
根据本发明的一个实施例,所述芯片中与所述待运行模块的温度关联模块为为所述芯片中的高功耗模块。
根据本发明的一个实施例,通过所述芯片内置的温度传感器获取所述芯片温度。
为达上述目的,本发明第二方面实施例提出了一种计算机可读存储介质,其上存储有芯片老化测试程序,该芯片老化测试程序被处理器执行时实现上述实施例所述的芯片老化测试方法。
本发明实施例的计算机可读存储介质通过存储在其上的芯片老化测试程序,能够降低测试成本,提高测试结果的准确性和有效性。
为达上述目的,本发明第三方面实施例提出了一种测试设备,该测试设备包括存储器、处理器及存储在存储器上并可在处理器上运行的芯片老化测试程序,所述处理器执行所述芯片老化测试程序时,实现如上述实施例所述的芯片老化测试方法。
本发明实施例的测试设备包括存储器和处理器,处理器执行存储在存储器上的芯片老化测试程序,能够降低测试成本,提高测试结果的准确性和有效性。
为达上述目的,本发明第四方面实施例提出了一种芯片老化测试装置,该测试装置包括:确定模块,用于确定芯片中的待运行模块,并根据至少所述待运行模块定制测试向量;输入模块,用于将所述测试向量输入所述芯片,以使至少所述待运行模块进行工作;测试模块,用于获取芯片温度,并根据所述芯片温度对所述测试向量进行控制,以使所述芯片温度满足预设的测试要求。
本发明实施例的芯片老化测试装置包括确定模块、输入模块和测试模块,其中,确定模块可以确定芯片中的待运行模块,该待运行模块可以是单个也可以是多个,并且确定待运行模块的方法有多种,在此不做具体限定。需要说明的是,该步骤所确定的待运行模块是对芯片老化影响较大的模块。在确定模块确定了待运行模块之后,则至少可以根据待运行模块定制测试向量,并利用输入模块将该测试向量输入芯片中,使得至少待运行模块进行工作,然后再通过测试模块获取芯片温度,根据芯片温度对测试向量进行控制,使芯片温度满足预设的测试要求,最后利用老化板对满足预设测试要求的芯片进行老化测试。本实施例中的芯片老化测试装置不需要依靠老化板上的温度传感器,同时能够更具针对性地对芯片中的模块进行测试,由此,该芯片老化测试装置能够降低测试成本,提高测试结果的准确性和有效性。
本发明附加的方面和优点将在下面的描述中部分给出,部分将从下面的描述中变得明显,或通过本发明的实践了解到。
附图说明
本发明上述的和/或附加的方面和优点从下面结合附图对实施例的描述中将变得明显和容易理解,其中:
图1是根据本发明一个实施例的芯片老化测试方法的流程示意图;
图2是根据本发明另一个实施例的芯片老化测试方法的流程示意图;
图3是根据本发明实施例的测试设备的结构框图;
图4是根据本发明实施例的芯片老化测试装置的结构框图。
具体实施方式
下面详细描述本发明的实施例,所述实施例的示例在附图中示出,其中自始至终相同或类似的标号表示相同或类似的元件或具有相同或类似功能的元件。下面通过参考附图描述的实施例是示例性的,旨在用于解释本发明,而不能理解为对本发明的限制。
下面参考附图描述本发明实施例的芯片老化测试方法与装置、计算机可读存储介质、测试设备。
图1是根据本发明一个实施例的芯片老化测试方法的流程示意图。
如图1所示,本发明实施例的芯片老化测试方法包括以下步骤:
S10,确定芯片中的待运行模块,并根据至少该待运行模块定制测试向量。
首先,需要说明的是,在老化实验测试的过程中,由于实验所需的设备对于测试向量的总容量是有一定限制的,所以通常会对测试向量进行取舍,在相关技术中是使用芯片顶层测试向量,尽可能地让整个芯片都运行起来,但是该方法并不能具有针对性的测试。本实施例中则首先确定芯片中需要进行测试的模块作为待运行模块,其中,待运行模块为寿命敏感模块,也就是说,待运行模块是在芯片中对芯片的老化影响较大的模块,例如,可以是耐热度较低且工作时发热能力较强的模块,也可以是比较容易损坏的模块。需要说明的是,待运行模块还可以参考用户的使用经验进行确定,例如,在用户使用过程中,某一个模块经常损坏,所以可以将该模块确定为待运行模块,还可以将与该模块的损坏原因相关联的其他模块也确定为待运行模块。进一步说明的,本实施例中待运行模块的确定还可以参考芯片的使用环境,例如,芯片使用环境较为潮湿,那么可以将对于湿度要求较高的模块确定为待运行模块。
在本发明的一个具体实施例中,如图2所示,确定芯片中的待运行模块可以包括以下步骤:
S201,对芯片进行测试,以使芯片整体进行工作。
S202,对芯片进行全片测温,以获取温升最快的位置。
S203,将温升最快的位置所对应的模块作为待运行模块。
具体地,在对芯片进行老化测试之前,可以先对芯片的功能测试pattern,需要说明的是,对芯片的功能进行pattern测试仅仅是为了使芯片尽可能的暴露出问题,对于pattern的选用可以根据用户对于芯片的具体要求,如果对于芯片的要求较高的话,可以选择一些强度较高的pattern进行测试。当然,也可以对于一块芯片中的不同模块采用不同强度的pattern进行测试,例如,对于安全系数较高或者寿命敏感度较高的模块采用高强度的pattern进行测试,而对于其他的模块则可以采用强度相对较低的pattern进行测试。
在确定各模块对应的pattern调试强度之后,则利用各模块对应的pattern使芯片整体进行工作,并在芯片工作过程中进行全片测温,从而获得芯片中温度上升最快的位置。在一些实施例中,可以通过红外测温的方式对芯片进行全片测温。具体地,可以先设置红外传感器用于测试芯片,然后在芯片进行pattern调试过程中,当芯片整体工作时,则可以打开红外传感器对芯片的温度进行检测,从而能够方便快捷的检测到芯片中各个模块在工作过程中的温度上升速度和工作温度等数据。当然,在本发明的其他实施例中,还可以通过设置其他温度传感器检测芯片在工作过程中的温度数据,在此不作限定。
在确定了芯片中各模块的温度数据之后,则可以将温度上升速度最快的位置所对应的模块作为待运行模块。具体地,用户可以通过设置一个温度上升速度的预设阈值,其中,可以是芯片中每个模块对应各自的温度上升速度,当芯片在工作过程中,每个模块的温升速度超过了其各自对应的预设阈值时,则可以确定该模块为待运行模块;也可以针对当前被测试的芯片设置一个总的预设阈值,然后将芯片中的各个模块的温升速度与该总的预设阈值进行比较,如果超过了,则可以将该模块确定为待运行模块,需要说明的是,通过设置一个总的预设阈值所得到的待运行模块的准确度要小于针对各个模块设置对应的预设阈值。
在该实施例中,当确定了芯片中的待运行模块之后,则可以根据待运行模块定制测试向量。具体地,不同的模块其对应的测试向量并不相同,所以在确定了待运行模块之后,可以根据模块类型选择对应的测试向量,以提高测试的准确度。并且,在确定待运行模块之后再定制对应的测试向量,可以更加具有针对性的选择测试向量,避免选择到不必要的测试向量,提高测试准确度,或者在同样的测试向量容量下,可以对芯片中更多的模块进行测试,以提高芯片测试的兼容性。
S20,将测试向量输入芯片,以使至少待运行模块进行工作。
具体地,在确定待运行模块与其对应定制的测试向量之后,则将该测试向量输入到芯片中,使得芯片中的待运行模块能够正常工作。可以理解的,芯片中相关联的各模块可以是同时进行工作的模块,而如果两个单独的模块,则可以单独进行控制,而不相互影响。在确定了待运行模块之后,可以通过各待运行模块确定与其相关联的模块并定义为局部模块,然后通过将测试向量输入芯片中以控制局部模块进行工作。可以理解的是,待运行模块与局部模块之间存在的相互关联,可以是待运行模块在工作过程中需要局部模块参与才能够正常工作,或者待运行模块的工作过程受局部模块的影响较大。
在本发明的一些实施例中,芯片中与待运行模块的温度关联模块为芯片中的高功耗模块。
需要说明的是,芯片在进行老化测试实验的过程中,该芯片需要处于老化实验所要求的测试温度中。在该实施例中,可以通过控制芯片中的高功耗模块工作来产生热量以对芯片进行加热,使得芯片能够达到测试所要求的温度,而不需要再通过外部温箱对芯片进行加温控制,充分利用了芯片中高功耗模块自身工作发热的优点,并且还能够降低额外设置温箱对芯片进行加热的成本,对芯片的加热也能够更加均匀。
更具体地,用户可以设置一个功耗阈值,然后对工作中的芯片中的每一个模块的功耗进行检测,大于该功耗阈值的,则可以定义为高功耗模块,可以理解的是,通过本实施例中的高功耗模块进行产热,能够便捷快速准确地对芯片进行加热,进而能够使得后续的老化测试所得到的测试结果较为准确。
S30,获取芯片温度,并根据芯片温度对测试向量进行控制,以使芯片温度满足预设的测试要求。
具体地,在芯片的局部模块工作过程中,可以对芯片的温度进行获取,然后根据芯片的温度再进行相应的控制。在本发明的一些实施例中,可以通过芯片内置的温度传感器获取芯片的温度,具体地,可以在芯片上设置一个或多个温度传感器对芯片的温度进行检测,可选地,在待运行模块工作过程中,每个预设时间获取芯片的一个温度,一共可以获取预设数量检测值,然后所获取的多个温度中去除最大值和最小值后进行求平均,所得到的温度则为芯片的温度。需要说明的是,本实施例中仅仅举了一个获取芯片温度的例子,在本领域中还可以具有其他获取芯片温度的方法,在此不一一举例说明,也不对芯片温度的获取方法进行限定。
在获取到芯片的温度之后,则可以根据所获取到的温度对测试向量进行控制,以使得芯片的温度能够处于预设的测试要求中。可以理解的是,芯片的温度是否处于老化实验所要求的温度范围中,对于该芯片的老化测试的准确度具有较大的影响。更具体地,举例而言,老化实验预设的测试要求中,芯片温度需要在T1和T2之间,在芯片以定制测试向量工作时,获取得到的芯片温度为T3,其中,T3<T1<T2,那么可以对测试向量进行调整,使得调整后的芯片的温度T3’处于T1和T2之间以满足预设的测试要求。在芯片满足预设的测试要求之后,则可以通过老化板对该芯片进行老化实验,同样的,在进行老化实验的过程中,可以获取多个老化实验得到的数据,通过求平均的方式得到老化实验的结果,当然,还可以通过标准差等其他方式来获得老化结果,在此不作限定。
综上所述,本发明实施例首先确定芯片中的待运行模块,然后根据待运行模块定制测试向量,并将该测试向量输入芯片中,使得芯片中的待运行模块进行工作并为芯片进行加热,然后获取芯片温度,并根据芯片温度对测试向量进行控制,使芯片温度满足预设的测试要求,最后利用老化板对满足预设测试要求的芯片进行老化测试并得到老化结果。本实施例中的芯片老化测试方法不需要依靠老化板上的温度传感器,也不需要额外设置温箱对芯片进行加热,同时能够更具针对性地对芯片中的模块进行测试,从而能够降低测试成本,并且还提高了测试结果的准确性和有效性。
进一步地,本发明提出了一种计算机可读存储介质,其上存储有芯片老化测试程序,该芯片老化测试程序被处理器执行时实现如上述实施例中的芯片老化测试方法。
本发明实施例的计算机可读存储介质通过处理器执行存储在其上的芯片老化测试程序,可以实现上述实施例中的芯片老化测试方法,从而可以使得芯片在老化测试中可以不需要依靠老化板上的温度传感器,也不需要额外设置温箱对芯片进行加热,同时能够更具针对性地对芯片中的模块进行测试,进而能够降低测试成本,并且还提高了测试结果的准确性和有效性。
图3是根据本发明实施例的测试设备的结构框图;
进一步地,如图3所示,本发明提出了一种测试设备10,该测试设备10包括存储器11、处理器12及存储在存储器11上并可在处理器12上运行的芯片老化测试程序,处理器12执行芯片老化测试程序时,实现如上述实施例中的芯片老化测试方法。
本发明实施例的测试设备10包括存储器11和处理器12,通过处理器12执行存储在存储器11上的芯片老化测试程序,可以实现上述实施例中的芯片老化测试方法,从而可以使得芯片在老化测试中可以不需要依靠老化板上的温度传感器,也不需要额外设置温箱对芯片进行加热,同时能够更具针对性地对芯片中的模块进行测试,进而能够降低测试成本,并且还提高了测试结果的准确性和有效性。
图4是根据本发明实施例的芯片老化测试装置的结构框图。
进一步地,如图4所示,芯片老化测试装置100包括有确定模块101、输入模块102和测试模块103。
其中,确定模块101用于确定芯片中的待运行模块,并根据至少待运行模块定制测试向量;输入模块102用于将测试向量输入芯片,以使至少待运行模块进行工作;测试模块103用于获取芯片温度,并根据芯片温度对测试向量进行控制,以使芯片温度满足预设的测试要求。
首先,需要说明的是,在老化实验测试的过程中,由于实验所需的设备对于测试向量的总容量是有一定限制的,所以通常会对测试向量进行取舍,在相关技术中是使用芯片顶层测试向量,尽可能地让整个芯片都运行起来,但是该方法并不能具有针对性的测试。本实施例中则首先利用确定模块101确定芯片中需要进行测试的模块作为待运行模块,例如,可以是耐热度较低且工作时发热能力较强的模块,也可以是比较容易损坏的模块。需要说明的是,待运行模块还可以参考用户的使用经验进行确定,例如,在用户使用过程中,某一个模块经常损坏,所以可以将该模块确定为待运行模块,还可以将与该模块的损坏原因相关联的其他模块也确定为待运行模块。进一步说明的,本实施例中待运行模块的确定还可以参考芯片的使用环境,例如,芯片使用环境,较为潮湿,那么可以将对于湿度要求较高的模块确定为待运行模块。
在确定模块101确定待运行模块与其对应定制的测试向量之后,则可以通过输入模块102将该测试向量输入到芯片中,使得芯片中的待运行模块能够正常工作。可以理解的,芯片中相关联的各模块可以是同时进行工作的模块,而如果两个单独的模块,则可以单独进行控制,而不相互影响。在确定了待运行模块之后,可以通过各待运行模块确定与其相关联的模块并定义为局部模块,然后通过将测试向量输入芯片中以控制局部模块进行工作。可以理解的是,待运行模块与局部模块之间存在的相互关联,可以是待运行模块在工作过程中需要局部模块参与才能够正常工作,或者待运行模块的工作过程受局部模块的影响较大。
在芯片的局部模块工作过程中,可以利用测试模块103对芯片的温度进行获取,然后根据芯片的温度再进行相应的控制。具体地,在测试模块103获取到芯片的温度之后,则可以根据所获取到的温度对测试向量进行控制,以使得芯片的温度能够处于预设的测试要求中。可以理解的是,芯片的温度是否处于老化实验所要求的温度范围中,对于该芯片的老化测试的准确度具有较大的影响。更具体地,举例而言,老化实验预设的测试要求中,芯片温度需要在T1和T2之间,在芯片以定制测试向量工作时,获取得到的芯片温度为T3,其中,T3<T1<T2,那么可以对测试向量进行调整,使得调整后的芯片的温度T3’处于T1和T2之间以满足预设的测试要求。在芯片满足预设的测试要求之后,则可以通过老化板对该芯片进行老化实验,同样的,在进行老化实验的过程中,可以获取多个老化实验得到的数据,通过求平均的方式得到老化实验的结果,当然,还可以通过标准差等其他方式来获得老化结果,在此不作限定。
在本发明的一些实施例中,待运行模块为寿命敏感模块。
在本发明的一些实施例中,确定模块还用于:对芯片进行测试,以使芯片整体进行工作;对芯片进行全片测温,以获取温升最快的位置;将温升最快的位置所对应的模块作为待运行模块。
在本发明的一些实施例中,通过红外测温的方式对芯片进行全片测温。
在本发明的一些实施例中,在待运行模块进行工作时,还控制芯片中与待运行模块的温度关联模块进行工作。
在本发明的一些实施例中,芯片中与待运行模块的温度关联模块为芯片中的高功耗模块。
在本发明的一些实施例中,通过芯片内置的温度传感器获取芯片温度。
需要说明的是,本发明实施例的芯片老化测试装置的具体实施方式,可以参照上述实施例中的芯片老化测试方法的具体实施方式,在此不再赘述。
综上所述,本发明实施例首先通过确定模块确定芯片中的待运行模块,然后根据待运行模块定制测试向量,并利用输入模块将该测试向量输入芯片中,使得芯片中的局部模块进行工作并未芯片进行加热,然后再利用测试模块获取芯片温度,并根据芯片温度对测试向量进行控制,使芯片温度满足预设的测试要求,最后利用老化板对满足预设测试要求的芯片进行老化测试并得到老化结果。本实施例中的芯片老化测试装置不需要依靠老化板上的温度传感器,也不需要额外设置温箱对芯片进行加热,同时能够更具针对性地对芯片中的模块进行测试,从而能够降低测试成本,并且还提高了测试结果的准确性和有效性。
需要说明的是,在流程图中表示或在此以其他方式描述的逻辑和/或步骤,例如,可以被认为是用于实现逻辑功能的可执行指令的定序列表,可以具体实现在任何计算机可读介质中,以供指令执行系统、装置或设备(如基于计算机的系统、包括处理器的系统或其他可以从指令执行系统、装置或设备取指令并执行指令的系统)使用,或结合这些指令执行系统、装置或设备而使用。就本说明书而言,“计算机可读介质”可以是任何可以包含、存储、通信、传播或传输程序以供指令执行系统、装置或设备或结合这些指令执行系统、装置或设备而使用的装置。计算机可读介质的更具体的示例(非穷尽性列表)包括以下:具有一个或多个布线的电连接部(电子装置),便携式计算机盘盒(磁装置),随机存取存储器(RAM),只读存储器(ROM),可擦除可编辑只读存储器(EPROM或闪速存储器),光纤装置,以及便携式光盘只读存储器(CDROM)。另外,计算机可读介质甚至可以是可在其上打印所述程序的纸或其他合适的介质,因为可以例如通过对纸或其他介质进行光学扫描,接着进行编辑、解译或必要时以其他合适方式进行处理来以电子方式获得所述程序,然后将其存储在计算机存储器中。
应当理解,本发明的各部分可以用硬件、软件、固件或它们的组合来实现。在上述实施方式中,多个步骤或方法可以用存储在存储器中且由合适的指令执行系统执行的软件或固件来实现。例如,如果用硬件来实现,和在另一实施方式中一样,可用本领域公知的下列技术中的任一项或他们的组合来实现:具有用于对数据信号实现逻辑功能的逻辑门电路的离散逻辑电路,具有合适的组合逻辑门电路的专用集成电路,可编程门阵列(PGA),现场可编程门阵列(FPGA)等。
在本说明书的描述中,参考术语“一个实施例”、“一些实施例”、“示例”、“具体示例”、或“一些示例”等的描述意指结合该实施例或示例描述的具体特征、结构、材料或者特点包含于本发明的至少一个实施例或示例中。在本说明书中,对上述术语的示意性表述不一定指的是相同的实施例或示例。而且,描述的具体特征、结构、材料或者特点可以在任何的一个或多个实施例或示例中以合适的方式结合。
在本发明的描述中,需要理解的是,术语“中心”、“纵向”、“横向”、“长度”、“宽度”、“厚度”、“上”、“下”、“前”、“后”、“左”、“右”、“竖直”、“水平”、“顶”、“底”“内”、“外”、“顺时针”、“逆时针”、“轴向”、“径向”、“周向”等指示的方位或位置关系为基于附图所示的方位或位置关系,仅是为了便于描述本发明和简化描述,而不是指示或暗示所指的装置或元件必须具有特定的方位、以特定的方位构造和操作,因此不能理解为对本发明的限制。
此外,本发明实施例中所使用的“第一”、“第二”等术语,仅用于描述目的,而不可以理解为指示或者暗示相对重要性,或者隐含指明本实施例中所指示的技术特征数量。由此,本发明实施例中限定有“第一”、“第二”等术语的特征,可以明确或者隐含地表示该实施例中包括至少一个该特征。在本发明的描述中,词语“多个”的含义是至少两个或者两个及以上,例如两个、三个、四个等,除非实施例中另有明确具体的限定。
在本发明中,除非实施例中另有明确的相关规定或者限定,否则实施例中出现的术语“安装”、“相连”、“连接”和“固定”等应做广义理解,例如,连接可以是固定连接,也可以是可拆卸连接,或成一体,可以理解的,也可以是机械连接、电连接等;当然,还可以是直接相连,或者通过中间媒介进行间接连接,或者可以是两个元件内部的连通,或者两个元件的相互作用关系。对于本领域的普通技术人员而言,能够根据具体的实施情况理解上述术语在本发明中的具体含义。
在本发明中,除非另有明确的规定和限定,第一特征在第二特征“上”或“下”可以是第一和第二特征直接接触,或第一和第二特征通过中间媒介间接接触。而且,第一特征在第二特征“之上”、“上方”和“上面”可是第一特征在第二特征正上方或斜上方,或仅仅表示第一特征水平高度高于第二特征。第一特征在第二特征“之下”、“下方”和“下面”可以是第一特征在第二特征正下方或斜下方,或仅仅表示第一特征水平高度小于第二特征。
尽管上面已经示出和描述了本发明的实施例,可以理解的是,上述实施例是示例性的,不能理解为对本发明的限制,本领域的普通技术人员在本发明的范围内可以对上述实施例进行变化、修改、替换和变型。

Claims (10)

1.一种芯片老化测试方法,其特征在于,包括:
确定芯片中的待运行模块;
根据至少所述待运行模块定制测试向量;
将所述测试向量输入所述芯片,以使至少所述待运行模块进行工作;
获取芯片温度,并根据所述芯片温度对所述测试向量进行控制,以使所述芯片温度满足预设的测试要求。
2.如权利要求1所述的芯片老化测试方法,其特征在于,所述待运行模块为寿命敏感模块。
3.如权利要求1或2所述的芯片老化测试方法,其特征在于,确定芯片中的待运行模块,包括:
对所述芯片进行测试,以使所述芯片整体进行工作;
对所述芯片进行全片测温,以获取温升最快的位置;
将所述温升最快的位置所对应的模块作为所述待运行模块。
4.如权利要求3所述的芯片老化测试方法,其特征在于,通过红外测温的方式对所述芯片进行全片测温。
5.如权利要求1或2所述的芯片老化测试方法,其特征在于,在所述待运行模块进行工作时,还控制所述芯片中与所述待运行模块的温度关联模块进行工作。
6.如权利要求5所述的芯片老化测试方法,其特征在于,所述芯片中与所述待运行模块的温度关联模块为所述芯片中的高功耗模块。
7.如权利要求1或2所述的芯片老化测试方法,其特征在于,通过所述芯片内置的温度传感器获取所述芯片温度。
8.一种计算机可读存储介质,其特征在于,其上存储有芯片老化测试程序,该芯片老化测试程序被处理器执行时实现如权利要求1-7中任一项所述的芯片老化测试方法。
9.一种测试设备,其特征在于,包括存储器、处理器及存储在存储器上并可在处理器上运行的芯片老化测试程序,所述处理器执行所述芯片老化测试程序时,实现如权利要求1-7中任一项所述的芯片老化测试方法。
10.一种芯片老化测试装置,其特征在于,包括:
确定模块,用于确定芯片中的待运行模块,并根据至少所述待运行模块定制测试向量;
输入模块,用于将所述测试向量输入所述芯片,以使至少所述待运行模块进行工作;
测试模块,用于获取芯片温度,并根据所述芯片温度对所述测试向量进行控制,以使所述芯片温度满足预设的测试要求。
CN202110306995.4A 2021-03-23 2021-03-23 芯片老化测试方法与装置、存储介质、测试设备 Pending CN113075529A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110306995.4A CN113075529A (zh) 2021-03-23 2021-03-23 芯片老化测试方法与装置、存储介质、测试设备

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110306995.4A CN113075529A (zh) 2021-03-23 2021-03-23 芯片老化测试方法与装置、存储介质、测试设备

Publications (1)

Publication Number Publication Date
CN113075529A true CN113075529A (zh) 2021-07-06

Family

ID=76613951

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110306995.4A Pending CN113075529A (zh) 2021-03-23 2021-03-23 芯片老化测试方法与装置、存储介质、测试设备

Country Status (1)

Country Link
CN (1) CN113075529A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116994626A (zh) * 2023-06-28 2023-11-03 珠海妙存科技有限公司 基于device设备真实温度的老化测试方法、控制器和介质

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070269911A1 (en) * 2006-05-17 2007-11-22 Kingston Technology Corp. Memory-Module Manufacturing Method with Memory-Chip Burn-In and Full Functional Testing Delayed Until Module Burn-In
CN102467973A (zh) * 2010-11-18 2012-05-23 北京大学深圳研究生院 一种存储器测试方法及装置
CN103018646A (zh) * 2011-09-21 2013-04-03 北京大学深圳研究生院 一种面向SoC芯片的晶圆级高温老化测试调度方法
CN104699578A (zh) * 2015-01-09 2015-06-10 同济大学 一种以内升温方式检测时延故障的定温指令级自测试方法
CN206656806U (zh) * 2017-03-03 2017-11-21 湖州金软电子科技有限公司 一种多路用电设备温升信号监测器
CN111722086A (zh) * 2020-06-29 2020-09-29 中国人民解放军国防科技大学 一种高功率处理器芯片老化测试的方法
CN111782448A (zh) * 2020-07-01 2020-10-16 长沙景嘉微电子股份有限公司 芯片自检测方法、装置、芯片、显示系统及存储介质

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070269911A1 (en) * 2006-05-17 2007-11-22 Kingston Technology Corp. Memory-Module Manufacturing Method with Memory-Chip Burn-In and Full Functional Testing Delayed Until Module Burn-In
CN102467973A (zh) * 2010-11-18 2012-05-23 北京大学深圳研究生院 一种存储器测试方法及装置
CN103018646A (zh) * 2011-09-21 2013-04-03 北京大学深圳研究生院 一种面向SoC芯片的晶圆级高温老化测试调度方法
CN104699578A (zh) * 2015-01-09 2015-06-10 同济大学 一种以内升温方式检测时延故障的定温指令级自测试方法
CN206656806U (zh) * 2017-03-03 2017-11-21 湖州金软电子科技有限公司 一种多路用电设备温升信号监测器
CN111722086A (zh) * 2020-06-29 2020-09-29 中国人民解放军国防科技大学 一种高功率处理器芯片老化测试的方法
CN111782448A (zh) * 2020-07-01 2020-10-16 长沙景嘉微电子股份有限公司 芯片自检测方法、装置、芯片、显示系统及存储介质

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
陈民铀等: "基于电-热-机械应力多物理场的IGBT焊料层健康状态研究", 《电工技术学报》 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116994626A (zh) * 2023-06-28 2023-11-03 珠海妙存科技有限公司 基于device设备真实温度的老化测试方法、控制器和介质
CN116994626B (zh) * 2023-06-28 2024-04-26 珠海妙存科技有限公司 基于device设备真实温度的老化测试方法、控制器和介质

Similar Documents

Publication Publication Date Title
CN104597393B (zh) 一种芯片最高工作频率的确定方法及装置
US6366108B2 (en) System and method for detecting defects within an electrical circuit by analyzing quiescent current
CN104714184B (zh) 通过单引脚检测电池id和电池温度的检测装置及方法
CN105155234A (zh) 洗衣机及其烘干判定方法和装置
US20120253691A1 (en) Testing a humidity sensor
CN105930809B (zh) 指纹识别控制方法、指纹识别控制装置及电子装置
CN117150386B (zh) 基于自适应的湿度传感器测量不确定度的评定方法及装置
CN109837694A (zh) 洗衣机的控制方法、洗衣机及计算机可读存储介质
CN106482868A (zh) 温差校准方法及其系统
CN113075529A (zh) 芯片老化测试方法与装置、存储介质、测试设备
CN114200362B (zh) 基于nv色心的芯片磁场检测方法及装置
CN109387772B (zh) 一种芯片过温保护的测试装置及测试方法
CN112179949A (zh) 操作气体感测设备的方法以及对应的气体感测设备
JP7003751B2 (ja) 電池診断装置及び電池診断方法
JP2010210510A (ja) 絶縁検査装置及び絶縁検査方法
CN105824727A (zh) 芯片运行状态监测系统及监测方法
WO2020013044A1 (ja) センサ制御装置
CN104101789B (zh) 自动测试设备的量测装置及方法
CN108562813A (zh) 一种SiC Mosfet电性能的测试装置
US10416233B2 (en) Electronic apparatus and control method thereof
CN106679849B (zh) 一种mcu温度传感器的测试方法和装置
CN113030821A (zh) 一种电量校准方法及装置
CN104022771A (zh) 具有反向驱动保护功能的测试设备
JP2014219335A (ja) 検査装置および検査方法
CN114047385B (zh) Rfid芯片阻抗及灵敏度的测试方法、装置及电子设备

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20210706