CN111722086A - 一种高功率处理器芯片老化测试的方法 - Google Patents

一种高功率处理器芯片老化测试的方法 Download PDF

Info

Publication number
CN111722086A
CN111722086A CN202010609070.2A CN202010609070A CN111722086A CN 111722086 A CN111722086 A CN 111722086A CN 202010609070 A CN202010609070 A CN 202010609070A CN 111722086 A CN111722086 A CN 111722086A
Authority
CN
China
Prior art keywords
test chip
chip
test
temperature
program
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202010609070.2A
Other languages
English (en)
Other versions
CN111722086B (zh
Inventor
扈啸
郭阳
孙永节
陈小文
孙海燕
刘仲
李继雄
李向均
粟毅
张世亮
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
National University of Defense Technology
Original Assignee
National University of Defense Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by National University of Defense Technology filed Critical National University of Defense Technology
Priority to CN202010609070.2A priority Critical patent/CN111722086B/zh
Publication of CN111722086A publication Critical patent/CN111722086A/zh
Application granted granted Critical
Publication of CN111722086B publication Critical patent/CN111722086B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/2851Testing of integrated circuits [IC]
    • G01R31/2855Environmental, reliability or burn-in testing
    • G01R31/2872Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation
    • G01R31/2874Environmental, reliability or burn-in testing related to electrical or environmental aspects, e.g. temperature, humidity, vibration, nuclear radiation related to temperature
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Environmental & Geological Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)

Abstract

本发明公开了一种高功率处理器芯片老化测试的方法,其步骤包括:步骤S1:在测试芯片内部设有内置温度传感器,由测试芯片的程序访问;步骤S2:测试芯片被安装在测试插座中,通电后开始执行老化程序;步骤S3:实时读取内置温度传感器的数值,与规定的老化温度值比较;步骤S4:如果目前测试芯片中内置温度传感器低于规定的老化温度值,则应加热升温,此时通过程序让测试芯片运行在高计算和/或高负载状态,自行升温;否则,则应降温;步骤S5:通过程序控制测试芯片的工况,使测试芯片的温度在规定的老化温度值附近上下波动,完成老化作业。本发明具有原理十分简单、操作极为方便、实现成本比较低等优点。

Description

一种高功率处理器芯片老化测试的方法
技术领域
本发明主要涉及到芯片老化验证技术领域,特指一种高功率处理器芯片老化测试的方法。
背景技术
目前的芯片老化验证技术(High Temperature Operating Life,简称HTOL)是让芯片(Integrated Circuit,简称IC)在统一温度的试验箱中,利用测试插座的插座测试端测试芯片的芯片测试端,并且在测试过程中通过老化测试机给IC提供温度。在特定模式下运行,所有IC都处在同一温度下,而且机台不能单独控制每颗IC的温度。但是,高功率IC在28nm或者更高的工艺下,同一批IC个体差异非常大,用原先的老化技术进行验证时,IC的PN结温度差异也非常大,导致无法得出准确的试验结果。
于是有从业者提出了一些解决方案,如:
中国专利申请(201820590996.X)公开了一种IC老化测试座,通过设置的通风孔以及温度传感器,可以改善老化测试座加热的均匀性,提高IC芯片老化测试的准确性。
中国专利申请(201820698002.6)的目的在于提供一种芯片老化测试装置,具备电路板放置在测试设备内,夹具和电路板可拆卸,能够单独放置或取出夹具,电路板对夹具固定的优点,解决了夹具和电路板是固定在一起,放置或取出芯片时需要整体拿取,操作不方便,浪费时间的问题。
中国专利申请(201320738895.X)公开一种通过单独控制每颗芯片的温度,让有功耗差异的芯片能够在统一的温度条件下进行老化,得出准确测试结果的高功率芯片老化验证装置。
但是,上述几种传统方式均存在一些不足:基本上还是需要采用高温测试设备,在进行整体取放时,需要进一步设计整体拿出结构;进一步,在使用者需要加热控制装置和特殊的测试插座,整个操作十分繁琐,整个设备较为复杂。
发明内容
本发明要解决的技术问题就在于:针对现有技术存在的技术问题,本发明提供一种原理十分简单、操作极为方便、实现成本比较低的高功率处理器芯片老化测试的方法。
为解决上述技术问题,本发明采用以下技术方案:
一种高功率处理器芯片老化测试的方法,其步骤包括:
步骤S1:在测试芯片内部设有内置温度传感器,所述内置温度传感器由测试芯片的程序访问;
步骤S2:测试芯片被安装在测试插座中,通电后开始执行老化程序;
步骤S3:对测试芯片的运行环境初始化;实时读取内置温度传感器的数值,与规定的老化温度值比较;
步骤S4:如果目前测试芯片中内置温度传感器低于规定的老化温度值,则应加热升温,此时通过程序让测试芯片运行在高计算和/或高负载状态,自行升温;否则,则应降温,此时通过程序让测试芯片运行在低计算和/或低负载状态;
步骤S5:通过程序控制测试芯片的工况,使测试芯片的温度在规定的老化温度值附近上下波动,完成老化作业。
作为本发明方法的进一步改进:所述内置温度传感器安装于测试芯片上管芯的位置,用来监测测试芯片的管芯温度。
作为本发明方法的进一步改进:在所述测试芯片内设有多个内置温度传感器,在测试过程中选取最高温度来实现温度总体控制。
作为本发明方法的进一步改进:在测试插座上安装冷却部件,由被测处理器控制用来对测试芯片进行冷却。
作为本发明方法的进一步改进:所述冷却部件为风扇。
作为本发明方法的进一步改进:在通过程序来控制测试芯片运行工况时,采用阈值切换法或PID控制法或模糊控制法,以让测试芯片处于某种特定工况之下或在多种工况下进行切换,而不同工况所述测试芯片的工作温度则是不同的。
作为本发明方法的进一步改进:在通过程序来控制测试芯片运行工况时,设置两种老化算法函数,分别是高计算负载函数和低计算负载函数;所述高计算负载函数使测试芯片内部更多的逻辑电路同时运行,因此测试芯片的消耗功率较高;所述低计算负载函数使测试芯片内部较少的逻辑电路同时运行,因此测试芯片消耗的功率较小。
作为本发明方法的进一步改进:通过读取测试芯片内部的内置温度传感器获得芯片管芯的当前温度,控制高计算负载函数和低计算负载函数执行的时间比例,间接改变测试芯片的平均功率,使测试芯片工作温度维持在指定温度范围内。
与现有技术相比,本发明的优点在于:
1、本发明的高功率处理器芯片老化测试的方法,原理十分简单、操作极为方便、实现成本比较低,切不改变现有老化装置,无需提供统一温度的试验箱,仅通过老化测试程序的计算负载提供一种通过单独控制每颗芯片的温度,让有功耗差异的芯片能够在统一的温度条件下进行老化,得出准确测试结果的高功率芯片老化验证方法。
2、本发明的高功率处理器芯片老化测试的方法,通过设计芯片内置的温度传感器,该温度传感器值可被芯片程序访问。进一步提供带芯片内建的能够调整计算负载的老化测试程序,高计算负载下的芯片功耗高发热量大,低计算负载下芯片功耗低发热量小。老化测试程在被测芯片中运行,根据当前温度,自动调整处理器计算负载,间接控制处理器发热,实现在指定温度下进行长时间老化工作的目的。
附图说明
图1是本发明方法的流程示意图。
图2是本发明在具体应用实例中的应用结构示意图。
图例说明:
21、测试插座;22、测试芯片;23、管芯;24、内置温度传感器。
具体实施方式
以下将结合说明书附图和具体实施例对本发明做进一步详细说明。
如图1所示,本发明的高功率处理器芯片老化测试的方法,其步骤包括:
步骤S1:在测试芯片22内部设有内置温度传感器24,所述内置温度传感器24由测试芯片22的程序访问;
步骤S2:测试芯片22被安装在测试插座21中,通电后开始执行老化程序。
步骤S3:对测试芯片22的运行环境初始化;实时读取内置温度传感器24的数值,与规定的老化温度值比较。
步骤S4:如果目前测试芯片22中内置温度传感器24低于规定的老化温度值,则应加热升温,此时通过程序让测试芯片22运行在高计算和/或高负载状态,自行升温;否则,则应降温,此时通过程序让测试芯片22运行在低计算和/或低负载状态。
步骤S5:通过程序控制测试芯片22的工况,使测试芯片22的温度在规定的老化温度值附近上下波动,完成老化作业。
在具体应用实例中,内置温度传感器24安装于测试芯片22上管芯23的位置,用来监测测试芯片22的管芯温度。这是因为管芯23在不同计算负载情况下功耗不同、发热量不同。
在较佳的实施例中,进一步可以在测试芯片22内设有多个内置温度传感器24,在测试过程中选取最高温度来实现温度总体控制。
在较佳的实施例中,进一步可以在测试插座21上安装冷却部件(如风扇),风扇开关由被测处理器控制,这样就能够更快捷地实现温度控制。
可以理解,在具体应用时本发明可以根据实际应用的需求来对测试芯片22的工作状态进行控制,尤其是对于温度控制而言,例如采用阈值切换法、PID、模糊控制等算法均能够让测试芯片22处于某种特定工况之下或在多种工况下进行切换,而不同工况所述测试芯片22的工作温度则是不同的。
在具体应用实例中,以阈值切换法为例,阐述本发明的方法。本发明进一步为处理器设计了两种老化算法函数,分别是高计算负载函数和低计算负载函数。高计算负载函数使测试芯片22内部更多的逻辑电路同时运行,因此测试芯片22的消耗功率较高。低计算负载函数使测试芯片22内部较少的逻辑电路同时运行,因此测试芯片22消耗的功率较小。本发明通过基于“阈值切换法”的老化程序,通过读取测试芯片22内部的内置温度传感器24获得芯片管芯23的当前温度,控制高计算负载函数和低计算负载函数执行的时间比例,间接改变测试芯片22的平均功率,使测试芯片22工作温度维持在指定温度范围内。
本发明主要是针对高功耗芯片的测试,因为芯片功耗与散热会有不同程度,一般功耗芯片散热快,就达不到自发热能升温的的效果。
由上可知,采用本发明的方法之后,无需高温测试设备,也就无需另外设计整体拿出结构,且本发明相当于是单独对每颗测试芯片22进行单独加热,也就无需精确控制温度区域。本发明是通过测试芯片22内置的老化测试程序和内置温度传感器24,通过控制测试芯片22发热,从而也能使得具有功耗差异的测试芯片22能够在统一的温度条件下进行老化的目的。本发明无需高温箱测试设备和特殊的测试插座,大幅度降低了老化测试成本。
以上仅是本发明的优选实施方式,本发明的保护范围并不仅局限于上述实施例,凡属于本发明思路下的技术方案均属于本发明的保护范围。应当指出,对于本技术领域的普通技术人员来说,在不脱离本发明原理前提下的若干改进和润饰,应视为本发明的保护范围。

Claims (8)

1.一种高功率处理器芯片老化测试的方法,其特征在于,步骤包括:
步骤S1:在测试芯片内部设有内置温度传感器,所述内置温度传感器由测试芯片的程序访问;
步骤S2:测试芯片被安装在测试插座中,通电后开始执行老化程序;
步骤S3:对测试芯片的运行环境初始化;实时读取内置温度传感器的数值,与规定的老化温度值比较;
步骤S4:如果目前测试芯片中内置温度传感器低于规定的老化温度值,则应加热升温,此时通过程序让测试芯片运行在高计算和/或高负载状态,自行升温;否则,则应降温,此时通过程序让测试芯片运行在低计算和/或低负载状态;
步骤S5:通过程序控制测试芯片的工况,使测试芯片的温度在规定的老化温度值附近上下波动,完成老化作业。
2.根据权利要求1所述的高功率处理器芯片老化测试的方法,其特征在于,所述内置温度传感器安装于测试芯片上管芯的位置,用来监测测试芯片的管芯温度。
3.根据权利要求1所述的高功率处理器芯片老化测试的方法,其特征在于,在所述测试芯片内设有多个内置温度传感器,在测试过程中选取最高温度来实现温度总体控制。
4.根据权利要求1所述的高功率处理器芯片老化测试的方法,其特征在于,在测试插座上安装冷却部件,由被测处理器控制用来对测试芯片进行冷却。
5.根据权利要求4所述的高功率处理器芯片老化测试的方法,其特征在于,所述冷却部件为风扇。
6.根据权利要求1-5中任意一项所述的高功率处理器芯片老化测试的方法,其特征在于,在通过程序来控制测试芯片运行工况时,采用阈值切换法或PID控制法或模糊控制法,以让测试芯片处于某种特定工况之下或在多种工况下进行切换,而不同工况所述测试芯片的工作温度则是不同的。
7.根据权利要求6所述的高功率处理器芯片老化测试的方法,其特征在于,在通过程序来控制测试芯片运行工况时,设置两种老化算法函数,分别是高计算负载函数和低计算负载函数;所述高计算负载函数使测试芯片内部更多的逻辑电路同时运行,因此测试芯片的消耗功率较高;所述低计算负载函数使测试芯片内部较少的逻辑电路同时运行,因此测试芯片消耗的功率较小。
8.根据权利要求7所述的高功率处理器芯片老化测试的方法,其特征在于,通过读取测试芯片内部的内置温度传感器获得芯片管芯的当前温度,控制高计算负载函数和低计算负载函数执行的时间比例,间接改变测试芯片的平均功率,使测试芯片工作温度维持在指定温度范围内。
CN202010609070.2A 2020-06-29 2020-06-29 一种高功率处理器芯片老化测试的方法 Active CN111722086B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010609070.2A CN111722086B (zh) 2020-06-29 2020-06-29 一种高功率处理器芯片老化测试的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202010609070.2A CN111722086B (zh) 2020-06-29 2020-06-29 一种高功率处理器芯片老化测试的方法

Publications (2)

Publication Number Publication Date
CN111722086A true CN111722086A (zh) 2020-09-29
CN111722086B CN111722086B (zh) 2023-01-24

Family

ID=72571903

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010609070.2A Active CN111722086B (zh) 2020-06-29 2020-06-29 一种高功率处理器芯片老化测试的方法

Country Status (1)

Country Link
CN (1) CN111722086B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112834899A (zh) * 2020-12-30 2021-05-25 广州奥松电子有限公司 一种芯片检测装置
CN113075529A (zh) * 2021-03-23 2021-07-06 北京灵汐科技有限公司 芯片老化测试方法与装置、存储介质、测试设备
CN113156294A (zh) * 2021-03-23 2021-07-23 英特尔产品(成都)有限公司 用于芯片老化测试的热控制方法和装置
CN114295961A (zh) * 2021-12-30 2022-04-08 上海季丰电子股份有限公司 大功率芯片的功率温度循环试验方法、装置和电子设备
WO2023272700A1 (zh) * 2021-07-01 2023-01-05 华为技术有限公司 温度控制装置和方法
CN115794526A (zh) * 2023-01-05 2023-03-14 法特迪精密科技(苏州)有限公司 片上芯片高温老化测试插座控制系统及控制方法
CN115856584A (zh) * 2023-01-05 2023-03-28 法特迪精密科技(苏州)有限公司 片上芯片高温老化测试插座
CN117849592A (zh) * 2024-03-04 2024-04-09 北京航空航天大学 一种复杂电磁环境下电力芯片的加速老化测试方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1867834A (zh) * 2003-08-14 2006-11-22 英特尔公司 自热老化
CN1926439A (zh) * 2004-03-01 2007-03-07 全美达股份有限公司 用于减少老化期间的温度差异的系统和方法
CN101243549A (zh) * 2005-08-09 2008-08-13 松下电器产业株式会社 晶片级老化方法以及晶片级老化装置
CN204241639U (zh) * 2013-11-20 2015-04-01 宜硕科技(上海)有限公司 高功率芯片老化验证装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1867834A (zh) * 2003-08-14 2006-11-22 英特尔公司 自热老化
CN1926439A (zh) * 2004-03-01 2007-03-07 全美达股份有限公司 用于减少老化期间的温度差异的系统和方法
CN101243549A (zh) * 2005-08-09 2008-08-13 松下电器产业株式会社 晶片级老化方法以及晶片级老化装置
CN204241639U (zh) * 2013-11-20 2015-04-01 宜硕科技(上海)有限公司 高功率芯片老化验证装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
李浩然: "基于软件老化的负载均衡方法", 《中国优秀硕士学位论文全文数据库信息科技辑》 *

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112834899A (zh) * 2020-12-30 2021-05-25 广州奥松电子有限公司 一种芯片检测装置
CN113075529A (zh) * 2021-03-23 2021-07-06 北京灵汐科技有限公司 芯片老化测试方法与装置、存储介质、测试设备
CN113156294A (zh) * 2021-03-23 2021-07-23 英特尔产品(成都)有限公司 用于芯片老化测试的热控制方法和装置
CN113156294B (zh) * 2021-03-23 2024-05-24 英特尔产品(成都)有限公司 用于芯片老化测试的热控制方法和装置
WO2023272700A1 (zh) * 2021-07-01 2023-01-05 华为技术有限公司 温度控制装置和方法
CN114295961B (zh) * 2021-12-30 2024-01-16 上海季丰电子股份有限公司 大功率芯片的功率温度循环试验方法、装置和电子设备
CN114295961A (zh) * 2021-12-30 2022-04-08 上海季丰电子股份有限公司 大功率芯片的功率温度循环试验方法、装置和电子设备
CN115856584A (zh) * 2023-01-05 2023-03-28 法特迪精密科技(苏州)有限公司 片上芯片高温老化测试插座
CN115794526B (zh) * 2023-01-05 2023-10-10 法特迪精密科技(苏州)有限公司 片上芯片高温老化测试插座控制系统及控制方法
CN115856584B (zh) * 2023-01-05 2023-11-14 法特迪精密科技(苏州)有限公司 片上芯片高温老化测试插座
CN115794526A (zh) * 2023-01-05 2023-03-14 法特迪精密科技(苏州)有限公司 片上芯片高温老化测试插座控制系统及控制方法
CN117849592A (zh) * 2024-03-04 2024-04-09 北京航空航天大学 一种复杂电磁环境下电力芯片的加速老化测试方法
CN117849592B (zh) * 2024-03-04 2024-05-07 北京航空航天大学 一种复杂电磁环境下电力芯片的加速老化测试方法

Also Published As

Publication number Publication date
CN111722086B (zh) 2023-01-24

Similar Documents

Publication Publication Date Title
CN111722086B (zh) 一种高功率处理器芯片老化测试的方法
US7502952B2 (en) Method and apparatus for thermal control of electronic components
CN107192934A (zh) 一种用于大功率igbt的结壳瞬态热阻抗的测量方法
CN107861040A (zh) 一种基于仿真建模和短时试验的igbt间歇寿命试验方法
US9618569B2 (en) Method and apparatus for testing IC
CN101858957A (zh) 老化测试箱
CN103782143A (zh) 用于估计半导体芯片温度的方法和设备
CN101275977A (zh) 半导体器件的功率估计
CN101005264A (zh) 智能型风扇转速控制方法
KR20120106927A (ko) 냉각 장치의 운전 방법 및 검사 장치
US9841459B2 (en) Device and method for controlling IC temperature
US20220334170A1 (en) Method and system for characterizing igbt module aging based on miner theory
CN107209537A (zh) 具有在计算机关闭状态下冷却备用电源部的风扇控制电路的计算机用电源供给装置及运行方法
US7279703B2 (en) Self-heating burn-in
CN104978000A (zh) 散热方法及散热系统
TWI267727B (en) Chip overheat protection device and method thereof
US7087439B2 (en) Method and apparatus for thermally assisted testing of integrated circuits
CN106155142A (zh) 应用于变流柜的控制方法、装置、主板控制器及控制系统
CN107153592A (zh) 电子装置及其功率管理方法
JP2019169548A (ja) プローバの冷却システム
CN103176799B (zh) 温度敏感的混合存储架构及其数据分配策略方法
CN104122965A (zh) 一种减少器件温度余量的服务器风扇调控方法
US20090295459A1 (en) Temperature control device
KR20150031566A (ko) 반도체 칩 실장 테스트 장치
CN109975349B (zh) 一种测量半导体热阻的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant