CN112908391B - 一种基于数学模型的闪存分类方法及装置 - Google Patents

一种基于数学模型的闪存分类方法及装置 Download PDF

Info

Publication number
CN112908391B
CN112908391B CN202110170491.4A CN202110170491A CN112908391B CN 112908391 B CN112908391 B CN 112908391B CN 202110170491 A CN202110170491 A CN 202110170491A CN 112908391 B CN112908391 B CN 112908391B
Authority
CN
China
Prior art keywords
flash memory
data
reliability
classified
mathematical model
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202110170491.4A
Other languages
English (en)
Other versions
CN112908391A (zh
Inventor
刘政林
林羽盛
潘玉茜
张浩明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Futurepath Technology Co ltd
Original Assignee
Futurepath Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Futurepath Technology Co ltd filed Critical Futurepath Technology Co ltd
Priority to CN202110170491.4A priority Critical patent/CN112908391B/zh
Publication of CN112908391A publication Critical patent/CN112908391A/zh
Application granted granted Critical
Publication of CN112908391B publication Critical patent/CN112908391B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/10Programming or data input circuits
    • G11C16/14Circuits for erasing electrically, e.g. erase voltage switching circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/44Indication or identification of errors, e.g. for repair
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing
    • G11C2029/5004Voltage
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing
    • G11C2029/5006Current

Landscapes

  • Techniques For Improving Reliability Of Storages (AREA)
  • Read Only Memory (AREA)

Abstract

本发明公开了一种基于数学模型的闪存分类方法及装置,该方法包括:获取目标闪存Tm次编程/擦除操作后的特征量;对所述特征量进行运算,得到特征量运算数据集合;基于特征量运算数据或所述特征量和特征量运算数据的组合构建表征闪存可靠性的数学模型;获取待分类闪存的特征量;将所述待分类闪存的特征量输入所述数学模型,得到所述待分类闪存的闪存可靠性数据,根据所述闪存可靠性数据来判断闪存可靠性等级,从而根据所述闪存可靠性等级来对所述待分类闪存进行分类。本发明能够根据闪存的可靠性等级对闪存进行分类,可以帮助使用者提前了解闪存的耗损程度,能够根据不同的使用场景选取相应等级的闪存,进而及时调整闪存的存储策略。

Description

一种基于数学模型的闪存分类方法及装置
技术领域
本发明涉及闪存技术领域,特别涉及一种基于数学模型的闪存分类方法及装置。
背景技术
随着科学技术的高速发展,如今的我们不仅是信息的生产者,更是信息的依赖者,需要每时每刻对海量的信息进行处理。闪存经过数十年的技术更新,存储容量不断扩大,单位比特价格大幅减小,同时凭借着超大的存储容量、更快的读写性能、更好的防磁抗震能力成为了目前主流的非易失性存储器,在民用、工业、军工等各领域中发挥着越来越重要的作用。
随着闪存多比特技术的不断发展,伴随着的闪存可靠性问题也日渐突出。闪存信息的存储是通过编程和擦除操作来实现的,但重复的编程和擦除操作会使得闪存单元氧化层变薄以及阈值电压偏移,导致闪存器件损坏,造成数据丢失,因此闪存的可靠性问题成为闪存持续发展亟待解决的难题。
发明内容
鉴于此,本发明提供一种基于数学模型的闪存分类方法及装置,能够根据闪存的可靠性等级对闪存进行分类,可以帮助使用者提前了解闪存的耗损程度,能够根据不同的使用场景选取相应等级的闪存,进而及时调整闪存的存储策略。
具体而言,包括以下的技术方案:
第一方面,本发明实施例提供一种基于数学模型的闪存分类方法,包括:
获取目标闪存Tm次编程/擦除(P/E)操作后的特征量;
对所述特征量进行运算,得到特征量运算数据集合;
基于特征量运算数据或所述特征量和特征量运算数据的组合构建表征闪存可靠性的数学模型;
获取待分类闪存的特征量;
将所述待分类闪存的特征量输入所述数学模型,得到所述待分类闪存的闪存可靠性数据,根据所述闪存可靠性数据来判断闪存可靠性等级,从而根据所述闪存可靠性等级来对所述待分类闪存进行分类,
其中,所述可靠性等级包括以下结果中的一种或多种:
待分类闪存芯片的当前错误比特数量等级、待分类闪存芯片的当前错误比特率等级、Tm次编程/擦除操作后待分类闪存芯片的错误比特数量等级、Tm次编程/擦除操作后待分类闪存芯片的错误比特率等级和待分类闪存芯片的剩余编程/擦除周期数量等级。
可选地,所述特征量包括闪存编程时间、读取时间、擦除时间、电流、芯片功耗、阈值电压分布、存储块编号、存储页号、闪存所经历过的编程/擦除周期次数、条件错误页数、条件错误块数、错误比特数和错误率。
可选地,所述获取目标闪存的特征量,包括:
a)记录当前状态下所述目标闪存所经历的编程/擦除周期次数;
b)向所述目标闪存发送测试数据集合,对所述目标闪存执行写入数据操作,同时获取目标闪存编程时的电流、编程时的功耗、各个页面的编程时间以及编程时间所对应的存储块编号和存储页号;
c)对所述目标闪存执行读数据操作,同时获取所述目标闪存的阈值电压分布、读取操作时的电流、读取操作时的功耗、各个页面的读取时间以及读取时间所对应的存储块编号和存储页号,将该步骤中获得的数据与步骤b)中的数据进行比较,计算得到错误比特数、错误率、条件错误页数及条件错误块数。
可选地,所述对所述特征量中的一种特征量或多种特征量进行运算,得到特征量运算数据集合,包括:
特征量的线性运算、特征量的非线性运算、不同特征量之间的线性运算、不同特征量之间的非线性运算、计算不同存储页面特征量的最大值、计算不同存储页面特征量的最小值、不同存储页面特征量之间的线性运算、不同存储页面特征量之间的非线性运算、不同存储块特征量之间的线性运算、不同存储块特征量之间的非线性运算、计算不同存储块特征量的最大值和计算不同存储块特征量的最小值。
可选地,通过以下构建方式中的一种或多种:回归算法、正则化方法、决策树学习、基于实例的算法、贝叶斯方法、聚类算法、降低维度算法、关联规则学习、遗传算法、人工神经网络、深度学习、基于核的算法和集成算法,来构建所述数学模型。
可选地,所述基于所述特征量运算数据或所述特征量和所述特征量运算数据的组合构建表征闪存可靠性等级的数学模型,包括:
通过不同的构建方式构建多个不同的数学模型,在判断闪存可靠性等级时,综合分析多个不同的数学模型得到的多个闪存可靠性数据。
可选地,所述基于所述特征量运算数据或所述特征量和所述特征量运算数据的组合构建表征闪存可靠性的数学模型,包括:
基于所述特征量运算数据集合中的第一部分特征量运算数据或所述特征量和所述第一部分特征量运算数据的组合构建表征闪存可靠性的数学模型。
可选地,在所述基于所述特征量运算数据或所述特征量和所述特征量运算数据的组合构建表征闪存可靠性的数学模型之后,还包括验证所述数学模型,具体包括:
将所述特征量运算数据集合中的第二部分特征量运算数据输入所述数学模型得到所述目标闪存的闪存可靠性预测数据;
测量所述目标闪存进行Tm次编程/擦除操作后的闪存可靠性实际数据;
比较所述闪存可靠性预测数据和所述闪存可靠性实际数据;
若所述闪存可靠性预测数据和所述闪存可靠性实际数据相同,则表示数学模型准确;
若所述可靠性预测数据和所述可靠性实际数据不相同,则重新构建数学模型。
第二方面,本发明实施例提供一种基于数学模型的闪存分类装置,包括:
第一获取模块,用于获取目标闪存Tm次编程/擦除操作后的特征量;
运算模块,用于对所述特征量进行运算,得到特征量运算数据集合;
构建模块,用于基于特征量运算数据或所述特征量和特征量运算数据的组合构建表征闪存可靠性的数学模型;
第二获取模块,用于获取待分类闪存的特征量;
分类模块,用于将所述待分类闪存的特征量输入所述数学模型,得到所述待分类闪存的闪存可靠性数据,根据所述闪存可靠性数据来判断闪存可靠性等级,从而根据所述闪存可靠性等级来对所述待分类闪存进行分类,
其中,所述可靠性等级包括以下结果中的一种或多种:
待分类闪存芯片的当前错误比特数量等级、待分类闪存芯片的当前错误比特率等级、Tm次编程/擦除操作后待分类闪存芯片的错误比特数量等级、Tm次编程/擦除操作后待分类闪存芯片的错误比特率等级和待分类闪存芯片的剩余编程/擦除周期数量等级。
可选地,所述的装置还包括:
验证模块,用于验证所述数学模型。
本发明实施例提供的技术方案的有益效果至少包括:
本发明通过采集闪存的一种特征量或几种特征量的组合,并对所有特征量或组合中的部分特征量进行运算,基于特征量运算数据或特征量与特征量运算数据的组合来建立数学模型,采集待分类闪存的特征量,将该特征量输入数学模型,得到待分类闪存的闪存可靠性数据,根据所述闪存可靠性数据来判断闪存可靠性等级,从而根据所述闪存可靠性等级来对所述待分类闪存进行分类。可以帮助使用者提前了解闪存的耗损程度,能够根据不同的使用场景选取相应等级的闪存,进而及时调整闪存的存储策略。
本发明基于多种与闪存可靠性相关的特征量以及它们的运算结果来构建数学模型,与仅有一种特征量且没有做运算操作的情况相比,所构建的数学模型的准确度更高。
本发明分类预测所使用的数学模型具有快速反映闪存可靠性的能力,通过对闪存进行少量非破坏性测试后,迅速得到闪存的可靠性等级。
本发明可准确预测闪存在经过一定次数编程/擦除操作后的可靠性等级,可以为闪存控制器厂商在优化调度策略方面提供有力的数据支持。
附图说明
为了更清楚地说明本发明实施例中的技术方案,下面将对实施例描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本发明的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图。
图1为根据本发明一实施例的基于数学模型的闪存分类方法的流程图。
图2为根据本发明另一实施例的基于数学模型的闪存分类方法的流程图。
图3为根据本发明一实施例的基于数学模型的闪存分类装置的结构框图。
图4为根据本发明另一实施例的基于数学模型的闪存分类装置的结构框图。
通过上述附图,已示出本发明明确的实施例,后文中将有更详细的描述。这些附图和文字描述并不是为了通过任何方式限制本发明构思的范围,而是通过参考特定实施例为本领域技术人员说明本发明的概念。
具体实施方式
下面将结合本发明实施例中的附图,对本发明实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例是本发明一部分实施例,而不是全部的实施例。基于本发明中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本发明保护的范围。
存储器为计算机系统的记忆设备,用来存放程序和数据。存储器大致可分为两大类:易失性存储器和非易失性存储器。易失性存储器在系统关闭时立即失去存储在内的数据,它需要持续的电源供应以保持数据;非易失性存储器在系统关闭或无电源供应时仍能保持数据,目前应用最为广泛的非易失性存储器为闪存(Flash Memory)。
可靠性(Reliability)的定义是:产品在规定条件下和规定时间内完成规定操作的能力。对于闪存而言,数据保持能力(Data Retention)、耐久力(Endurance)、干扰(Disturb)等是评价闪存可靠性的重要参数,其中,数据保持力指的是闪存存储的数据经过一段时间之后没有失真或丢失还可以有效读出的能力。闪存信息的存储是通过编程和擦除操作来实现的,但重复的编程和擦除操作会使得闪存单元氧化层变薄以及阈值电压偏移,导致闪存器件损坏,造成数据丢失。因此,对闪存的可靠性等级进行分类,可以帮助使用者提前了解闪存的耗损程度,能够根据不同的使用场景选取相应等级的闪存,进而及时调整闪存的存储策略,避免不必要的损失。
为了实现对闪存的可靠性等级进行分类,本发明提供了一种基于数学模型的闪存分类方法及装置。
为使本发明的技术方案和优点更加清楚,下面将结合附图对本发明实施方式作进一步地详细描述。
图1为根据本发明实施例的基于数学模型的闪存分类方法的流程图。
如图1所示,本发明一实施例提供了一种基于数学模型的闪存分类方法,包括:
步骤S101:获取目标闪存Tm次编程/擦除(P/E)操作后的特征量。
闪存的特征量可以由闪存测试装置进行测量,采集并保存测量所得的闪存特征量。闪存测试装置可以通过市售的渠道来获得,闪存测试装置可以对闪存进行全方位测试。利用该装置,可以自动化快速获取闪存可靠性、读写性能、内部参数等核心信息。可以支持最新3D闪存芯片测试;支持多片闪存同时测试。
可选地,在该步骤S101中获取的闪存的特征量包括但不限于:闪存编程时间、读取时间、擦除时间、电流、芯片功耗、阈值电压分布、存储块编号、存储页号、闪存所经历过的编程/擦除周期次数、条件错误页数、条件错误块数、错误比特数和错误率。
在步骤S101中,具体地可以包括以下步骤:
步骤S101a:记录当前状态下目标闪存所经历的编程/擦除周期次数;
步骤S101b:向目标闪存发送测试数据集合,对目标闪存执行写入数据操作,同时获取目标闪存编程时的电流、编程时的功耗、各个页面的编程时间以及编程时间所对应的存储块编号和存储页号;
步骤S101c:执行完数据写入操作之后,不保持数据存储或保持数据储存时间tr后,对目标闪存执行读数据操作,同时获取目标闪存的阈值电压分布、读取操作时的电流、读取操作时的功耗、各个页面的读取时间以及读取时间所对应的存储块编号和存储页号,将该步骤中获得的数据与步骤S101b中的数据进行比较,计算得到错误比特数、错误率、条件错误页数及条件错误块数。
其中,对于闪存存储单元阈值电压分布,闪存测试装置可以对闪存执行READ-RETRY操作所需的命令集,使得闪存的读取数据操作参考电压产生偏移,然后对Read-Retry操作所得的数据进行数学分析与运算,获得对应的闪存存储单元阈值电压分布。数据储存时间tr为正整数。
步骤S102:对特征量进行运算,得到特征量运算数据集合。
可选地,对特征量中的一种特征量或多种特征量进行运算,包括:
特征量的线性运算、特征量的非线性运算、不同特征量之间的线性运算、不同特征量之间的非线性运算、计算不同存储页面特征量的最大值、计算不同存储页面特征量的最小值、不同存储页面特征量之间的线性运算、不同存储页面特征量之间的非线性运算、不同存储块特征量之间的线性运算、不同存储块特征量之间的非线性运算、计算不同存储块特征量的最大值和计算不同存储块特征量的最小值。
步骤S103:基于特征量运算数据或特征量和特征量运算数据的组合构建表征闪存可靠性的数学模型。
所选取的特征量可根据实际预测需求进行组合,而非固定的搭配。
优选地,所述方法的可靠性等级预测结果可表示为原始错误比特率等级或者闪存剩余寿命等级。原始错误比特率等级是指闪存读取操作后未经纠错的数据与编程操作写入的数据相比产生的错误比特数所占比例等级。闪存剩余寿命等级是指闪存由当前直至超过一定的错误率之间经历的编程/擦除周期数量等级。
可选地,可以通过以下构建方式中的一种或多种:回归算法、正则化方法、决策树学习、基于实例的算法、贝叶斯方法、聚类算法、降低维度算法、关联规则学习、遗传算法、人工神经网络、深度学习、基于核的算法和集成算法,来构建数学模型。
可选地,基于特征量运算数据或特征量和特征量运算数据的组合构建表征闪存可靠性等级的数学模型,包括:
通过不同的构建方式构建多个不同的数学模型,在判断闪存可靠性等级时,综合分析多个不同的数学模型得到的多个闪存可靠性数据。不同构建方法构建的数学模型对闪存可靠性的侧重点不同,根据不不同模型的输出,综合比对分析得到闪存的可靠性等级。
本发明实施例基于多种与闪存可靠性相关的特征量以及它们的运算结果来构建数学模型,与仅有一种特征量且没有做运算操作的情况相比,所构建的数学模型的准确度更高。
步骤S104:获取待分类闪存的特征量。
对于步骤S104中待分类闪存的特征量的获取可以参照步骤S101来进行。
步骤S105:将待分类闪存的特征量输入数学模型,得到待分类闪存的闪存可靠性数据,根据闪存可靠性数据来判断闪存可靠性等级,从而根据闪存可靠性等级来对待分类闪存进行分类,
其中,所述可靠性等级包括以下结果中的一种或多种:
待分类闪存芯片的当前错误比特数量等级、待分类闪存芯片的当前错误比特率等级、Tm次编程/擦除操作后待分类闪存芯片的错误比特数量等级、Tm次编程/擦除操作后待分类闪存芯片的错误比特率等级和待分类闪存芯片的剩余编程/擦除周期数量等级。
根据本发明实施例的基于数学模型的闪存分类方法能够根据闪存的可靠性等级对闪存进行分类,可以帮助使用者提前了解闪存的耗损程度,能够根据不同的使用场景选取相应等级的闪存,进而及时调整闪存的存储策略。
本发明分类预测所使用的数学模型具有快速反映闪存可靠性的能力,通过对闪存进行少量非破坏性测试后,迅速得到闪存的可靠性等级。
本发明可准确预测闪存在经过一定次数编程/擦除操作后的可靠性等级,可以为闪存控制器厂商在优化调度策略方面提供有力的数据支持。
图2为根据本发明另一实施例的基于数学模型的闪存分类方法的流程图。
如图2所示,本发明另一实施例提供了一种基于数学模型的闪存分类方法,包括:
步骤S201:获取目标闪存Tm次编程/擦除(P/E)操作后的特征量。
步骤S201与前述实施例中的步骤S101相同,可以参考步骤S101,在此不再赘述。
步骤S202:对特征量进行运算,得到特征量运算数据集合。
步骤S202与前述实施例中的步骤S102相同,可以参考步骤S102,在此不再赘述。
步骤S203:基于特征量运算数据集合中的第一部分特征量运算数据或特征量和第一部分特征量运算数据的组合构建表征闪存可靠性的数学模型。
在步骤S203中,可以选取特征量运算数据集合中的一部分数据(第一部分特征量运算数据)用于构建数学模型,该一部分数据可以例如占特征量运算数据集合的60%、70%或80%,在此不对此进行限定。特征量运算数据集合中的另一部分数据可以用于后续的数学模型的验证。
步骤S204:验证数学模型。
步骤S204具体地可以包括:
将特征量运算数据集合中的第二部分特征量运算数据输入数学模型得到目标闪存的闪存可靠性预测数据;
测量目标闪存进行Tm次编程/擦除操作后的闪存可靠性实际数据;
比较闪存可靠性预测数据和闪存可靠性实际数据;
若闪存可靠性预测数据和闪存可靠性实际数据相同,则表示数学模型准确;
若可靠性预测数据和可靠性实际数据不相同,则需要返回到步骤S201,重新构建数学模型。
步骤S205:获取待分类闪存的特征量。
步骤S205是在步骤S204中数学模型被验证为准确后而进行的,对于步骤S205中待分类闪存的特征量的获取可以参照步骤S201来进行。
步骤S206:将待分类闪存的特征量输入数学模型,得到待分类闪存的闪存可靠性数据,根据闪存可靠性数据来判断闪存可靠性等级,从而根据闪存可靠性等级来对待分类闪存进行分类,
其中,所述可靠性等级包括以下结果中的一种或多种:
待分类闪存芯片的当前错误比特数量等级、待分类闪存芯片的当前错误比特率等级、Tm次编程/擦除操作后待分类闪存芯片的错误比特数量等级、Tm次编程/擦除操作后待分类闪存芯片的错误比特率等级和待分类闪存芯片的剩余编程/擦除周期数量等级。
相较于上一实施例,在本实施例中增加了对所构建的数学模型进行验证的步骤,通过对数学模型的验证可以使最终所得到的数学模型更加准确。将特征量运算数据集合中的一部分数据用于构建数学模型,剩余的另一部分数据输入已构建数学模型,得到闪存的可靠性预测数据,再对闪存进行实际测试来获得闪存的可靠性实际数据,通过将可靠性预测数据与可靠性实际数据进行比较,来验证数学模型,若可靠性预测数据与可靠性实际数据相同,则表示数学模型是准确的,如果二者不同,则需要重新构建数学模型。
下面以市售的一种MLC NAND Flash闪存为例,来详细描述本发明上述实施例所述的基于数学模型的闪存分类方法。
步骤S301:由闪存测试装置采集闪存芯片的特征量。
具体地,对闪存芯片采集特征量的步骤包括:
将闪存芯片放置在闪存测试装置中,配置闪存信息、测试信息、测试图样。
闪存测试装置对闪存芯片先进行块擦除操作,然后根据所选测试图样进行页编程操作,写入数据。
闪存测试装置对闪存芯片进行读数据操作,通过与编程操作写入的测试图样比对得到页原始错误比特数,同时采集闪存芯片的读取时间。
闪存测试装置记录闪存芯片的编程/擦除(P/E)周期次数,记作Cpe,并在每一次P/E操作后进行更新:Cpe=Cpe+1。
本例中,采集的样本闪存芯片特征量包括:闪存块的页原始错误比特数,闪存块当前已经历的编程/擦除周期数,闪存块的读取时间。
步骤S302:对步骤S301得到的特征量采集结果进行运算操作,保存特征量运算数据,建立用于构建数学模型所需的闪存芯片数据集合。
本例中,选择将闪存芯片的页原始错误比特数等级作为芯片的可靠性等级。
本例中,对样本闪存芯片特征量的运算操作包括:对闪存块内所有页的页原始错误比特数取算数平均数
Figure BDA0002938758680000101
其中xi为第i页的页原始错误比特数,n为最大闪存页编号);对闪存块内所有页的页原始错误比特数取平方平均数
Figure BDA0002938758680000102
其中xi为第i页的页原始错误比特数,n为最大闪存页编号),对闪存块内所有页的页原始错误比特数取中位数。对闪存块内所有页的读取时间取算数平均数。
步骤S303:取步骤S302得到的特征量运算数据集合中70%的数据用来构建数学模型。
具体地,利用数学工具建立数学模型的步骤如下:
选择违背非线性优化条件推导结果的特征量作为α1,本例中选择闪存的原始错误比特数。定义数学模型的输入输出个数,同时设置模型的约束条件。本例中的数学模型输入个数为5,输出个数为1;约束条件为原始错误比特数大于1400或时间点大于1000。本例中采用的构建数学模型为:序列最小优化的向量机模型。
随机选取特征量运算数据集合中70%的数据用来构建数学模型,剩余30%的数据用于验证数学模型。
使用高斯核完成数学模型的建立。
步骤S304:取步骤S302得到的特征量运算数据集合中剩余30%的数据验证数学模型的准确性,将剩余30%的数据输入数学模型,并得到Tm次P/E操作后的闪存芯片可靠性预测数据,记为Ymodel
步骤S305:对闪存芯片进行Tm次P/E操作,测量芯片经过Tm次P/E操作后的可靠性实际数据,记为Yobs
步骤S306:比较数学模型的可靠性预测数据Ymodel与可靠性实际数据Yobs,若二者相同,则表示数学模型建立准确,否则重新回到步骤S301。
步骤S307:由闪存测试装置采集待分类闪存的特征量。
步骤S308:将待分类闪存的特征量输入经过验证的数学模型,得到待分类闪存的闪存可靠性数据,根据闪存可靠性数据来判断闪存可靠性等级,从而根据闪存可靠性等级来对待分类闪存进行分类。
图3为根据本发明一实施例的基于数学模型的闪存分类装置的结构框图。
进一步地,作为图1所示的方法的具体实现,如图3所示,本发明一实施例提供一种基于数学模型的闪存分类装置,包括第一获取模块10、运算模块20、构建模块30、第二获取模块40和分类模块50。
第一获取模块10用于获取目标闪存Tm次编程/擦除操作后的特征量。
具体地可以执行以下操作:
记录当前状态下所述目标闪存所经历的编程/擦除周期次数;
向所述目标闪存发送测试数据集合,对所述目标闪存执行写入数据操作,同时获取目标闪存编程时的电流、编程时的功耗、各个页面的编程时间以及编程时间所对应的存储块编号和存储页号;
对所述目标闪存执行读数据操作,同时获取所述目标闪存的阈值电压分布、读取操作时的电流、读取操作时的功耗、各个页面的读取时间以及读取时间所对应的存储块编号和存储页号,将该步骤中获得的数据与上一步骤中的数据进行比较,计算得到错误比特数、错误率、条件错误页数及条件错误块数。
运算模块20用于对特征量进行运算,得到特征量运算数据集合。
构建模块30用于基于特征量运算数据或特征量和特征量运算数据的组合构建表征闪存可靠性的数学模型。
第二获取模块40用于获取待分类闪存的特征量。
分类模块50用于将待分类闪存的特征量输入数学模型,得到待分类闪存的闪存可靠性数据,根据闪存可靠性数据来判断闪存可靠性等级,从而根据闪存可靠性等级来对待分类闪存进行分类,
其中,所述可靠性等级包括以下结果中的一种或多种:
待分类闪存芯片的当前错误比特数量等级、待分类闪存芯片的当前错误比特率等级、Tm次编程/擦除操作后待分类闪存芯片的错误比特数量等级、Tm次编程/擦除操作后待分类闪存芯片的错误比特率等级和待分类闪存芯片的剩余编程/擦除周期数量等级。
根据本发明实施例的基于数学模型的闪存分类装置能够根据闪存的可靠性等级对闪存进行分类,可以帮助使用者提前了解闪存的耗损程度,能够根据不同的使用场景选取相应等级的闪存,进而及时调整闪存的存储策略。
图4为根据本发明另一实施例的基于数学模型的闪存分类装置的结构框图。
进一步地,作为图2所示的方法的具体实现,如图4所示,本发明另一实施例提供一种基于数学模型的闪存分类装置,包括第一获取模块10、运算模块20、构建模块30、第二获取模块40、分类模块50和验证模块60。
第一获取模块10用于获取目标闪存Tm次编程/擦除操作后的特征量。
具体地第一获取模块10可以执行以下操作:
记录当前状态下所述目标闪存所经历的编程/擦除周期次数;
向所述目标闪存发送测试数据集合,对所述目标闪存执行写入数据操作,同时获取目标闪存编程时的电流、编程时的功耗、各个页面的编程时间以及编程时间所对应的存储块编号和存储页号;
对所述目标闪存执行读数据操作,同时获取所述目标闪存的阈值电压分布、读取操作时的电流、读取操作时的功耗、各个页面的读取时间以及读取时间所对应的存储块编号和存储页号,将该步骤中获得的数据与上一步骤中的数据进行比较,计算得到错误比特数、错误率、条件错误页数及条件错误块数。
运算模块20用于对特征量进行运算,得到特征量运算数据集合。
构建模块30用于基于特征量运算数据或特征量和特征量运算数据的组合构建表征闪存可靠性的数学模型。
第二获取模块40用于获取待分类闪存的特征量。
分类模块50用于将待分类闪存的特征量输入数学模型,得到待分类闪存的闪存可靠性数据,根据闪存可靠性数据来判断闪存可靠性等级,从而根据闪存可靠性等级来对待分类闪存进行分类,
其中,所述可靠性等级包括以下结果中的一种或多种:
待分类闪存芯片的当前错误比特数量等级、待分类闪存芯片的当前错误比特率等级、Tm次编程/擦除操作后待分类闪存芯片的错误比特数量等级、Tm次编程/擦除操作后待分类闪存芯片的错误比特率等级和待分类闪存芯片的剩余编程/擦除周期数量等级。
验证模块60用于验证数学模型。
具体地验证模块60可以执行以下操作:
将所述特征量运算数据集合中的第二部分特征量运算数据输入所述数学模型得到所述目标闪存的闪存可靠性预测数据;
测量所述目标闪存进行Tm次编程/擦除操作后的闪存可靠性实际数据;
比较所述闪存可靠性预测数据和所述闪存可靠性实际数据;
若所述闪存可靠性预测数据和所述闪存可靠性实际数据相同,则表示数学模型准确;
若所述可靠性预测数据和所述可靠性实际数据不相同,则重新构建数学模型。
相较于上一实施例,在本实施例中增加了对所构建的数学模型进行验证的验证模块,通过对数学模型的验证可以使最终所得到的数学模型更加准确。将特征量运算数据集合中的一部分数据用于构建数学模型,剩余的另一部分数据输入已构建数学模型,得到闪存的可靠性预测数据,再对闪存进行实际测试来获得闪存的可靠性实际数据,通过将可靠性预测数据与可靠性实际数据进行比较,来验证数学模型,若可靠性预测数据与可靠性实际数据相同,则表示数学模型时准确的,如果二者不同,则需要重新构建数学模型。
本领域技术人员在考虑说明书并且实践这里所公开的本发明后,将容易想到本发明的其它实施方案。本发明旨在涵盖本发明的任何变型、用途或者适应性变化,这些变型、用途或者适应性变化遵循本发明的一般性原理并包括本发明未公开的本技术领域中的公知常识或惯用技术手段。说明书和实施例仅被视为示例性的。
以上所述仅为本发明的较佳实施例,并不用以限制本发明,凡在本发明的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。

Claims (7)

1.一种基于数学模型的闪存分类方法,其特征在于,包括:
获取目标闪存Tm次编程/擦除操作后的特征量;
对所述特征量进行运算,得到特征量运算数据集合;
基于特征量运算数据或所述特征量和特征量运算数据的组合构建表征闪存可靠性的数学模型;
获取待分类闪存的特征量;
将所述待分类闪存的特征量输入所述数学模型,得到所述待分类闪存的闪存可靠性数据,根据所述闪存可靠性数据来判断闪存可靠性等级,从而根据所述闪存可靠性等级来对所述待分类闪存进行分类,以供使用者根据不同的使用场景选取相应等级的闪存;
其中,所述可靠性等级包括以下结果中的一种或多种:
待分类闪存芯片的当前错误比特数量等级、待分类闪存芯片的当前错误比特率等级、Tm次编程/擦除操作后待分类闪存芯片的错误比特数量等级、Tm次编程/擦除操作后待分类闪存芯片的错误比特率等级和待分类闪存芯片的剩余编程/擦除周期数量等级;
其中,所述获取目标闪存的特征量,包括:
a)记录当前状态下所述目标闪存所经历的编程/擦除周期次数;
b)向所述目标闪存发送测试数据集合,对所述目标闪存执行写入数据操作,同时获取目标闪存编程时的电流、编程时的功耗、各个页面的编程时间以及编程时间所对应的存储块编号和存储页号;
c)执行所述写入数据操作之后,不保持数据存储时间或保持数据储存时间tr后,对所述目标闪存执行读数据操作,同时获取所述目标闪存的阈值电压分布、读取操作时的电流、读取操作时的功耗、各个页面的读取时间以及读取时间所对应的存储块编号和存储页号,将该步骤中获得的数据与步骤b)中的数据进行比较,计算得到错误比特数、错误率、条件错误页数及条件错误块数;
所述基于所述特征量运算数据或所述特征量和所述特征量运算数据的组合构建表征闪存可靠性等级的数学模型,包括:
通过不同的构建方式构建多个不同的数学模型,在判断闪存可靠性等级时,综合分析多个不同的数学模型得到的多个闪存可靠性数据;或,基于所述特征量运算数据集合中的第一部分特征量运算数据或所述特征量和所述第一部分特征量运算数据的组合构建表征闪存可靠性的数学模型。
2.根据权利要求1所述的方法,其特征在于,所述特征量包括闪存编程时间、读取时间、擦除时间、电流、芯片功耗、阈值电压分布、存储块编号、存储页号、闪存所经历过的编程/擦除周期次数、条件错误页数、条件错误块数、错误比特数和错误率。
3.根据权利要求1所述的方法,其特征在于,所述对所述特征量中的一种特征量或多种特征量进行运算,得到特征量运算数据集合,包括:
特征量的线性运算、特征量的非线性运算、不同特征量之间的线性运算、不同特征量之间的非线性运算、计算不同存储页面特征量的最大值、计算不同存储页面特征量的最小值、不同存储页面特征量之间的线性运算、不同存储页面特征量之间的非线性运算、不同存储块特征量之间的线性运算、不同存储块特征量之间的非线性运算、计算不同存储块特征量的最大值和计算不同存储块特征量的最小值。
4.根据权利要求1所述的方法,其特征在于,通过以下构建方式中的一种或多种:回归算法、正则化方法、决策树学习、基于实例的算法、贝叶斯方法、聚类算法、降低维度算法、关联规则学习、遗传算法、人工神经网络、深度学习、基于核的算法和集成算法,来构建所述数学模型。
5.根据权利要求1所述的方法,其特征在于,在所述基于所述特征量运算数据或所述特征量和所述特征量运算数据的组合构建表征闪存可靠性的数学模型之后,还包括验证所述数学模型,具体包括:
将所述特征量运算数据集合中的第二部分特征量运算数据输入所述数学模型得到所述目标闪存的闪存可靠性预测数据;
测量所述目标闪存进行Tm次编程/擦除操作后的闪存可靠性实际数据;
比较所述闪存可靠性预测数据和所述闪存可靠性实际数据;
若所述闪存可靠性预测数据和所述闪存可靠性实际数据相同,则表示数学模型准确;
若所述可靠性预测数据和所述可靠性实际数据不相同,则重新构建数学模型。
6.一种基于数学模型的闪存分类装置,其特征在于,包括:
第一获取模块,用于获取目标闪存Tm次编程/擦除操作后的特征量;
运算模块,用于对所述特征量进行运算,得到特征量运算数据集合;
构建模块,用于基于特征量运算数据或所述特征量和特征量运算数据的组合构建表征闪存可靠性的数学模型;
第二获取模块,用于获取待分类闪存的特征量;
分类模块,用于将所述待分类闪存的特征量输入所述数学模型,得到所述待分类闪存的闪存可靠性数据,根据所述闪存可靠性数据来判断闪存可靠性等级,从而根据所述闪存可靠性等级来对所述待分类闪存进行分类,以供使用者根据不同的使用场景选取相应等级的闪存;
其中,所述可靠性等级包括以下结果中的一种或多种:
待分类闪存芯片的当前错误比特数量等级、待分类闪存芯片的当前错误比特率等级、Tm次编程/擦除操作后待分类闪存芯片的错误比特数量等级、Tm次编程/擦除操作后待分类闪存芯片的错误比特率等级和待分类闪存芯片的剩余编程/擦除周期数量等级;
其中,所述获取目标闪存的特征量,包括:
a)记录当前状态下所述目标闪存所经历的编程/擦除周期次数;
b)向所述目标闪存发送测试数据集合,对所述目标闪存执行写入数据操作,同时获取目标闪存编程时的电流、编程时的功耗、各个页面的编程时间以及编程时间所对应的存储块编号和存储页号;
c)执行所述写入数据操作之后,不保持数据存储时间或保持数据储存时间tr后,对所述目标闪存执行读数据操作,同时获取所述目标闪存的阈值电压分布、读取操作时的电流、读取操作时的功耗、各个页面的读取时间以及读取时间所对应的存储块编号和存储页号,将该步骤中获得的数据与步骤b)中的数据进行比较,计算得到错误比特数、错误率、条件错误页数及条件错误块数;
所述构建模块,还用于通过不同的构建方式构建多个不同的数学模型,在判断闪存可靠性等级时,综合分析多个不同的数学模型得到的多个闪存可靠性数据;或,基于所述特征量运算数据集合中的第一部分特征量运算数据或所述特征量和所述第一部分特征量运算数据的组合构建表征闪存可靠性的数学模型。
7.根据权利要求6所述的装置,其特征在于,还包括:
验证模块,用于验证所述数学模型。
CN202110170491.4A 2021-02-08 2021-02-08 一种基于数学模型的闪存分类方法及装置 Active CN112908391B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110170491.4A CN112908391B (zh) 2021-02-08 2021-02-08 一种基于数学模型的闪存分类方法及装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110170491.4A CN112908391B (zh) 2021-02-08 2021-02-08 一种基于数学模型的闪存分类方法及装置

Publications (2)

Publication Number Publication Date
CN112908391A CN112908391A (zh) 2021-06-04
CN112908391B true CN112908391B (zh) 2022-04-12

Family

ID=76123943

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110170491.4A Active CN112908391B (zh) 2021-02-08 2021-02-08 一种基于数学模型的闪存分类方法及装置

Country Status (1)

Country Link
CN (1) CN112908391B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116469445B (zh) * 2022-01-19 2024-04-09 武汉置富半导体技术有限公司 基于智能体的闪存可靠性动态侦测方法、系统及电子设备
CN116469446A (zh) * 2022-02-18 2023-07-21 武汉置富半导体技术有限公司 闪存芯片的可靠性测试方法、装置、存储介质及设备

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107967928A (zh) * 2017-10-18 2018-04-27 武汉忆数存储技术有限公司 一种基于数学模型的闪存芯片寿命预测方法
CN109634527A (zh) * 2018-12-12 2019-04-16 华中科技大学 一种ssd内实现的闪存寿命预测方法
CN109637576A (zh) * 2018-12-17 2019-04-16 华中科技大学 一种基于支持向量回归的闪存寿命预测方法
CN109830255A (zh) * 2018-12-17 2019-05-31 武汉忆数存储技术有限公司 一种基于特征量的闪存寿命预测方法、系统及存储介质
CN109830254A (zh) * 2018-12-17 2019-05-31 武汉忆数存储技术有限公司 一种闪存寿命预测方法、系统、存储介质

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102157571B1 (ko) * 2019-11-21 2020-09-18 주식회사 파두 메모리 시스템

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107967928A (zh) * 2017-10-18 2018-04-27 武汉忆数存储技术有限公司 一种基于数学模型的闪存芯片寿命预测方法
CN109634527A (zh) * 2018-12-12 2019-04-16 华中科技大学 一种ssd内实现的闪存寿命预测方法
CN109637576A (zh) * 2018-12-17 2019-04-16 华中科技大学 一种基于支持向量回归的闪存寿命预测方法
CN109830255A (zh) * 2018-12-17 2019-05-31 武汉忆数存储技术有限公司 一种基于特征量的闪存寿命预测方法、系统及存储介质
CN109830254A (zh) * 2018-12-17 2019-05-31 武汉忆数存储技术有限公司 一种闪存寿命预测方法、系统、存储介质

Also Published As

Publication number Publication date
CN112908391A (zh) 2021-06-04

Similar Documents

Publication Publication Date Title
CN107967928B (zh) 一种基于数学模型的闪存芯片寿命预测方法
CN109817267B (zh) 一种基于深度学习的闪存寿命预测方法、系统及计算机可读存取介质
CN109634527B (zh) 一种ssd内实现的闪存寿命预测方法
CN109671462A (zh) 具有参数校准功能的存储设备及其操作方法
CN112908391B (zh) 一种基于数学模型的闪存分类方法及装置
CN108573722A (zh) 操作非易失性存储器件的方法和非易失性存储器件
CN113257332B (zh) 一种闪存的有效性预测方法、装置及存储介质
US20220027083A1 (en) Regression Neural Network for Identifying Threshold Voltages to be Used in Reads of Flash Memory Devices
CN112817524A (zh) 基于动态神经网络的闪存可靠性等级在线预测方法和装置
CN110851079B (zh) 一种自适应的存储设备损耗均衡方法及系统
CN111078123B (zh) 一种闪存块的磨损程度的评估方法及装置
US11734103B2 (en) Behavior-driven die management on solid-state drives
CN110990135B (zh) 基于深度迁移学习的Spark作业时间预测方法和装置
US20220058488A1 (en) Partitionable Neural Network for Solid State Drives
CN108694989A (zh) 存储设备及其坏块指派方法
CN110837477B (zh) 一种基于寿命预测的存储系统损耗均衡方法及装置
CN109815534B (zh) 一种基于决策树算法的闪存寿命预测方法及系统
WO2022156064A1 (zh) 闪存芯片可靠性等级预测方法、装置及存储介质
CN113421605A (zh) 一种电能表存储器寿命测试方法及装置
Fitzgerald et al. A comparative study of classification methods for flash memory error rate prediction
CN113053450A (zh) 一种应用于Flash智能分析检测的检测方法、系统、智能终端以及计算机可读存储介质
CN111767165A (zh) 数据处理方法、装置及控制设备
CN114428720A (zh) 基于p-k的软件缺陷预测方法、装置、电子设备及介质
Chen et al. A NAND flash endurance prediction scheme with FPGA-based memory controller system
KR101751407B1 (ko) 플래시 메모리의 신뢰성 검증을 위한 아날로그 정보 기반 에뮬레이션 방법 및 그 장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant