CN109830255A - 一种基于特征量的闪存寿命预测方法、系统及存储介质 - Google Patents

一种基于特征量的闪存寿命预测方法、系统及存储介质 Download PDF

Info

Publication number
CN109830255A
CN109830255A CN201811545443.3A CN201811545443A CN109830255A CN 109830255 A CN109830255 A CN 109830255A CN 201811545443 A CN201811545443 A CN 201811545443A CN 109830255 A CN109830255 A CN 109830255A
Authority
CN
China
Prior art keywords
flash memory
characteristic quantity
data
service life
sample
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201811545443.3A
Other languages
English (en)
Other versions
CN109830255B (zh
Inventor
刘政林
潘玉茜
张浩明
李四林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wuhan Zhifu Semiconductor Technology Co.,Ltd.
Original Assignee
Wuhan Memory Storage Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Wuhan Memory Storage Technology Co Ltd filed Critical Wuhan Memory Storage Technology Co Ltd
Priority to CN201811545443.3A priority Critical patent/CN109830255B/zh
Publication of CN109830255A publication Critical patent/CN109830255A/zh
Application granted granted Critical
Publication of CN109830255B publication Critical patent/CN109830255B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Techniques For Improving Reliability Of Storages (AREA)
  • Read Only Memory (AREA)

Abstract

本发明涉及一种基于特征量的闪存寿命预测方法、系统及存储介质,测量闪存的一种特征量或几种特征量的组合,对所有特征量或组合中部分特征量进行运算,将运算结果或测量结果或运算结果和测量结果的组合按一定规则计算或判断,通过计算或判断结果预测闪存的使用寿命。本发明以多种闪存自身的特征量为基础预测闪存的寿命,与仅以一种特征量为依据的寿命预测方法相比预测寿命值的准确度更高,且应用范围广泛,可通过多种方式实现,具有较高的实用性。

Description

一种基于特征量的闪存寿命预测方法、系统及存储介质
技术领域
本发明涉及闪存寿命预测技术领域,尤其是涉及一种基于特征量的闪存寿命预测方法、系统及存储介质。
背景技术
存储器,是现代信息技术中用于保存信息的记忆设备。在电子设备的运算过程中,输入的原始数据、计算机程序、中间运行结果和最终运行结果都会保存在存储器里,可以说存储器是现代信息技术发展的核心部件之一。闪存,是一种非易失存储器,通过改变存储单元的阈值电压存储数据。在现代生活中,闪存以其具备生产制造成本低、可存储容量大、存储介质抗震抗磁、读写速度快并且数据非易失,抗震防磁等优势,被广泛应用于存储数据的相关领域。
在闪存需求量逐年增长的同时,其可靠性问题也日趋严重。闪存在使用期间,随着时间的推移,器件隧道氧化层将失去其绝缘性能导致无法擦除或编程存储器单元。当闪存中的大量存储单元失效时,闪存将达到其寿命上限,即无法再正常运作。集成度越高容量越大的闪存器件寿命也越低,可靠性问题也越大。目前闪存存储设备只能在存储单元出现错误后通过纠错码来纠正错误;而所有的纠错码仅能纠正有限比特数的数据错误,闪存中出现的错误数量超过纠错码能够纠正的错误个数时,未能纠正的数据将永久丢失。预测闪存的剩余使用寿命,可以让闪存存储设备使用者在使用设备期间了解闪存的损耗状态,避免因闪存单元失效而造成的数据流失。
发明内容
本发明针对现有技术中存在的技术问题,提供一种基于特征量的闪存寿命预测方法、系统及存储介质,测量闪存的一种特征量或几种特征量的组合,对所有特征量或组合中部分特征量进行运算,将运算结果或测量结果或运算结果和测量结果的组合按一定规则计算或判断,通过计算或判断结果预测闪存的使用寿命。
本发明解决上述技术问题的技术方案如下:
第一方面,本发明提供一种基于特征量的闪存寿命预测方法,包括以下步骤:
步骤1,对需要进行寿命预测的闪存的特征量进行测量,保存测量所得的闪存特征量;
步骤2,对测量得到的特征量中的一种特征量或几种特征量进行运算操作,保存特征量运算操作结果;
步骤3,将步骤1中测量得到的特征量及步骤2中特征量的运算结果构成集合,取集合中的子集按一定规则计算或判断,通过计算或判断结果预测闪存的使用寿命。
进一步的,所述闪存的特征量至少包括闪存的编程时间、读取时间、擦除时间、电流、芯片功耗、阈值电压分布、存储块编号、存储页号、闪存当前经历过的编程/擦除周期数、条件错误页数、条件错误块数、错误比特数和错误率中的一种或多种。
进一步的,所述闪存特征量的运算操作至少包括以下运算中一种或多种:特征量的线性运算、特征量的非线性运算、不同特征量间的线性运算、不同特征量间的非线性运算、计算不同存储页面特征量的最大值、计算不同存储页面特征量的最小值、不同存储页面特征量之间的线性运算、不同存储页面特征量之间的非线性运算、不同存储块特征量之间的线性运算、不同存储块特征量之间的非线性运算、计算不同存储块特征量的最大值和计算不同存储块特征量的最小值。
进一步的,所述的取集合中的子集按一定规则计算或判断,通过计算或判断结果预测闪存的使用寿命,具体为:对样本闪存测试数据进行多元线性回归后得到的函数,将所述子集输入函数,由函数计算闪存寿命的预测值。
所述预测闪存寿命的函数或模型的参数及结构为可修改的参数及结构,即对于同一个函数或模型对多个闪存进行寿命预测时,其参数和结构可以经由算法或人为调整。
进一步的,在所述步骤1之前还包括生成所述多元线性回归函数,包括以下步骤:
步骤501,将样本闪存测试数据输入多元线性函数,函数形式为:
f(x)=w1x1+w2x2+…+wnxn+b
其中,wi和b为多元线性函数参数;
步骤502,将样本闪存测试数据输入均方误差公式,均方误差公式为:
其中,yi为样本闪存测试数据对应的实际剩余寿命值;
步骤503,最小二乘法估计参数wi和b的取值;
步骤504,将步骤503中估计的wi和b的值带入多元线性函数,此多元线性函数为闪存寿命预测函数。
进一步的,所述样本闪存测试数据的获取方法包括以下步骤:
步骤601,从闪存集合中随机抽取样本芯片,对样本闪存进行预擦除操作;
步骤602,向样本闪存发送测试数据集合,对样本闪存执行写入数据操作,记录样本闪存的编程时间及当前样本闪存经历的编程/擦除操作周期数;
步骤603,执行完编程数据操作并保持一定的数据保持时间tr(tr≥0)之后,判断所经历的编程/擦除周期数是否满足一定要求,若满足要求,执行步骤604,不满足要求则执行步骤605;
步骤604,对样本闪存执行读数据操作并记录读取操作时间,将读出数据与发送的测试数据进行比较,计算并保存错误比特数;
步骤605,保存完错误比特数后,对样本闪存执行擦除数据操作,并记录擦除操作时间;
步骤606,重复步骤602到步骤605,直到样本闪存到达寿命极限;统计样本闪存的总编程/擦除操作周期数。
第二方面,本发明还提供一种基于特征量的闪存寿命预测系统,包括:
数据采集装置,用于对需要进行寿命预测的闪存的特征量进行测量,保存测量所得的闪存特征量;
数据运算单元,对测量得到的特征量中的一种特征量或几种特征量进行运算操作,保存特征量运算操作结果;
寿命预测单元,用于将所述数据采集模块测量得到的特征量及所述数据运算模块的特征量的运算结果构成集合,取集合中的子集按一定规则计算或判断,通过计算或判断结果预测闪存的使用寿命。
进一步的,所述数据采集装置包括处理器、闪存控制器、操作时间采集模块、阈值电压分布统计模块、电流电压采集模块、功率测量模块、AD转换模块、数据存储模块。
进一步的,所述闪存控制器、操作时间采集模块及阈值电压分布统计模块通过FPGA实现。
第三方面,本发明还提供一种计算机可读存储介质,所述计算机可读存储介质中存储有用于实现本发明第一方面所提供的一种基于特征量的闪存寿命预测方法的计算机软件程序。
本发明的有益效果是:首先本发明中提出的闪存寿命预测方法,以多种闪存自身的特征量为基础预测闪存的寿命,与仅以一种特征量为依据的寿命预测方法相比预测寿命值的准确度更高。其次,本发明中提出的闪存寿命预测方法应用范围广泛,可通过多种方式实现,具有较高的实用性。最后,本发明中闪存寿命值的预测操作能够在预测闪存寿命前独立于其它操作优化改进,具有独立性。
附图说明
图1为本发明实施例一种基于特征量的闪存寿命预测方法的流程示意图。
图2为本发明实施例一种数据采集装置的结构图。
图3为本发明实施例中数据采集装置测量并保存数据的流程示意图。
图4为本发明实施例中闪存寿命预测函数的生成流程图。
图5为本发明实施例中样本闪存测试数据的获取流程图。
具体实施方式
以下结合附图对本发明的原理和特征进行描述,所举实例只用于解释本发明,并非用于限定本发明的范围。
图1为本发明预测闪存寿命的流程示意图,图中所示闪存寿命预测流程适用于所有闪存类型,下面以一种闪存产品为实施例对图1进行详细的解释说明。
本实施例中,将某制造工艺下的3D多级单元NAND闪存(MLC NAND flash)产品作为测量对象和寿命预测对象。
步骤S01,由数据采集装置测量预测目标闪存寿命所需的特征量数据,获取的数据由数据采集装置保存在存储空间。所述闪存特征量包括但不限于:闪存的编程时间、读取时间、擦除时间、电流、芯片功耗、阈值电压分布、存储块编号、存储页号、闪存当前经历过的编程/擦除周期数、条件错误页数、条件错误块数、错误比特数和错误率。
本实施例中,步骤S01的数据采集装置结构如图2所示,数据采集装置中的处理器为ARM处理器,闪存控制器、操作时间采集模块及阈值电压分布统计模块通过FPGA实现,电流电压采集模块通过MAX44284电流检测放大器实现,功率测量模块通过ADE7755芯片实现,AD转换模块通过ADC芯片实现,数据存储模块通过DRAM存储器实现。
本实施例中,步骤S01的测量流程如图3所示,装置采集并保存数据的具体操作为:
(1)数据采集装置记录当前状态下目标闪存所经历的编程/擦除周期次数。
(2)闪存控制器对闪存芯片执行擦除数据操作。
(3)操作时间采集模块统计闪存芯片各个页面的擦除时间,处理器对擦除时间标记对应的存储块号及存储页号后,发送给数据存储模块;电流采集模块采集擦除数据时的电流,AD转换模块将模拟信号转换成数字信号,并发送给数据存储模块;功率测量模块测量闪存芯片功率,功率信息由处理器运算处理后发送给数据存储模块;数据存储模块保存数据。
(4)执行完擦除数据操作之后,闪存控制器对闪存芯片执行编程数据操作。
(5)操作时间采集模块统计闪存各个页面的编程时间,处理器对编程时间标记对应的存储块号及存储页号后,发送给数据存储模块;电流采集模块采集编程时的电流,AD转换模块将模拟信号转换成数字信号,并发送给数据存储模块;功率测量模块测量闪存功率,功率信息由处理器运算处理后发送给数据存储模块;数据存储模块保存从各个模块接收到的数据。
(6)执行完数据编程操作之后,不保持数据存储或保持数据储存时间tr后,闪存控制器对闪存执行读数据操作。
(7)装置中的阈值电压分布统计模块采集闪存的存储单元阈值电压分布,将阈值电压分布信息发送给数据存储模块;操作时间采集模块统计闪存各个页面的读取时间,处理器对读取时间标记对应的存储块号及存储页号后,发送给数据存储模块;电流采集模块采集读操作时的电流,AD转换模块将模拟信号转换成数字信号,并发送给数据存储模块;功率测量模块测量闪存功率,功率信息由处理器运算处理后发送给数据存储模块;处理器将读取的数据与编程数据相比较,计算错误率、错误比特数等特征量,同时对数据标记对应的存储块号及存储页号后,将数据发送给数据存储模块;数据存储模块保存数据。
步骤S02,对测量得到的特征量中的一种特征量或几种特征量进行数学运算,保存特征量数学运算结果。本实施例中选取编程时间、擦除时间、错误比特数进行运算。
本实施例步骤S02对上述特征量的运算操作为:计算闪存存储块中所有页面编程时间的平均值,记为PTe;计算闪存存储块擦除时间与页面编程时间均值PTe之差,记为ETep;计算存储块中不同页面错误比特数之和的自然对数,记为ESl。
步骤S03,将步骤01中测量得到的特征量及步骤02中特征量的运算结果构成集合,取集合中的子集按一定规则计算或判断,通过计算或判断结果预测闪存的使用寿命。本实施例中,选取步骤S01测量得到的特征量擦除时间、读取时间与步骤S02中运算得到的页面编程时间的平均值、擦除时间与页面编程时间均值之差、页面错误比特数之和的自然对数作为多元线性回归函数输入。其中,擦除时间记为ET,读取时间记为RT。
作为一个优选实施例,本实施例中,预测闪存寿命所采用的计算方法为:对样本闪存测试数据进行多元线性回归后得到的函数,将步骤S03中所取得子集输入函数,由函数计算闪存寿命的预测值。
应注意的是,所述预测闪存寿命的函数或模型的参数及结构为可修改的参数及结构,即对于同一个函数或模型对多个闪存进行寿命预测时,其参数和结构可以经由算法或人为调整。同时预测用的函数或模型可以由任意方式获得。
所述函数的生成流程如图4所示。根据图4,具体步骤为:
(1)将样本闪存测试数据输入多元线性函数,函数形式为:
f(x)=w1x1+w2x2+…+wnxn+b
其中,wi和b为多元线性函数参数。本实施例中,n等于5。
(2)将样本闪存测试数据输入均方误差公式,均方误差公式为:
其中,yi为样本闪存测试数据对应的实际剩余寿命值。
(3)最小二乘法估计参数wi和b的取值。
(4)将步骤(3)中估计的wi和b的值带入多元线性函数,此多元线性函数为闪存寿命预测函数。
所述样本闪存测试数据的获取流程如图5所示,具体步骤为:
(1)从闪存集合中随机抽取样本芯片,将样本闪存与数据采集装置连接,对样本闪存进行预擦除操作。
(2)向样本闪存发送测试数据集合,对样本闪存执行写入数据操作,数据采集装置记录样本闪存的编程时间及当前样本闪存经历的编程/擦除操作周期数。本实施例中的测试数据集合为随机数集合。
(3)执行完编程数据操作并保持一定的数据保持时间tr(tr≥0)之后,装置判断所经历的编程/擦除周期数是否满足一定要求,若满足要求,执行步骤(4),不满足要求则跳过步骤(4)执行步骤(5)。本实施例中,编程/擦除周期数的要求为100的倍数。
(4)数据采集装置对样本闪存执行读数据操作并记录读取操作时间,装置将读出数据与发送的测试数据进行比较,数据采集装置计算并保存错误比特数。
(5)保存完错误比特数后,数据采集装置对样本闪存执行擦除数据操作,并记录擦除操作时间。
(6)重复步骤(2)到步骤(5),直到样本闪存到达寿命极限;数据采集装置统计样本闪存的总编程/擦除操作周期数。
以上所述仅为本发明的较佳实施例,并不用以限制本发明,凡在本发明的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。

Claims (10)

1.一种基于特征量的闪存寿命预测方法,其特征在于,包括以下步骤:
步骤1,对需要进行寿命预测的闪存的特征量进行测量,保存测量所得的闪存特征量;
步骤2,对测量得到的特征量中的一种特征量或几种特征量进行运算操作,保存特征量运算操作结果;
步骤3,将步骤1中测量得到的特征量及步骤2中特征量的运算结果构成集合,取集合中的子集按一定规则计算或判断,通过计算或判断结果预测闪存的使用寿命。
2.根据权利要求1所述一种基于特征量的闪存寿命预测方法,其特征在于,所述闪存的特征量至少包括闪存的编程时间、读取时间、擦除时间、电流、芯片功耗、阈值电压分布、存储块编号、存储页号、闪存当前经历过的编程/擦除周期数、条件错误页数、条件错误块数、错误比特数和错误率中的一种或多种。
3.根据权利要求1所述一种基于特征量的闪存寿命预测方法,其特征在于,所述闪存特征量的运算操作至少包括以下运算中一种或多种:特征量的线性运算、特征量的非线性运算、不同特征量间的线性运算、不同特征量间的非线性运算、计算不同存储页面特征量的最大值、计算不同存储页面特征量的最小值、不同存储页面特征量之间的线性运算、不同存储页面特征量之间的非线性运算、不同存储块特征量之间的线性运算、不同存储块特征量之间的非线性运算、计算不同存储块特征量的最大值和计算不同存储块特征量的最小值。
4.根据权利要求1所述一种基于特征量的闪存寿命预测方法,其特征在于,所述的取集合中的子集按一定规则计算或判断,通过计算或判断结果预测闪存的使用寿命,具体为:对样本闪存测试数据进行多元线性回归后得到的函数,将所述子集输入函数,由函数计算闪存寿命的预测值。
5.根据权利要求4所述一种基于特征量的闪存寿命预测方法,其特征在于,在所述步骤1之前还包括生成所述多元线性回归函数,包括以下步骤:
步骤501,将样本闪存测试数据输入多元线性函数,函数形式为:
f(x)=w1x1+w2x2+…+wnxn+b
其中,wi和b为多元线性函数参数;
步骤502,将样本闪存测试数据输入均方误差公式,均方误差公式为:
其中,yi为样本闪存测试数据对应的实际剩余寿命值;
步骤503,最小二乘法估计参数wi和b的取值;
步骤504,将步骤503中估计的wi和b的值带入多元线性函数,此多元线性函数为闪存寿命预测函数。
6.根据权利要求5所述一种基于特征量的闪存寿命预测方法,其特征在于,所述样本闪存测试数据的获取方法包括以下步骤:
步骤601,从闪存集合中随机抽取样本芯片,对样本闪存进行预擦除操作;
步骤602,向样本闪存发送测试数据集合,对样本闪存执行写入数据操作,记录样本闪存的编程时间及当前样本闪存经历的编程/擦除操作周期数;
步骤603,执行完编程数据操作并保持一定的数据保持时间tr(tr≥0)之后,判断所经历的编程/擦除周期数是否满足一定要求,若满足要求,执行步骤604,不满足要求则执行步骤605;
步骤604,对样本闪存执行读数据操作并记录读取操作时间,将读出数据与发送的测试数据进行比较,计算并保存错误比特数;
步骤605,保存完错误比特数后,对样本闪存执行擦除数据操作,并记录擦除操作时间;
步骤606,重复步骤602到步骤605,直到样本闪存到达寿命极限;统计样本闪存的总编程/擦除操作周期数。
7.一种基于特征量的闪存寿命预测系统,其特征在于,包括:
数据采集装置,用于对需要进行寿命预测的闪存的特征量进行测量,保存测量所得的闪存特征量;
数据运算单元,对测量得到的特征量中的一种特征量或几种特征量进行运算操作,保存特征量运算操作结果;
寿命预测单元,用于将所述数据采集装置测量得到的特征量及所述数据运算模块的特征量的运算结果构成集合,取集合中的子集按一定规则计算或判断,通过计算或判断结果预测闪存的使用寿命。
8.根据权利要求7所述一种基于特征量的闪存寿命预测系统,其特征在于,所述数据采集装置包括处理器、闪存控制器、操作时间采集模块、阈值电压分布统计模块、电流电压采集模块、功率测量模块、AD转换模块、数据存储模块。
9.根据权利要求8所述一种基于特征量的闪存寿命预测系统,其特征在于,所述闪存控制器、操作时间采集模块及阈值电压分布统计模块通过FPGA实现。
10.一种计算机可读存储介质,其特征在于,所述计算机可读存储介质中存储有用于实现权利要求1-6所述的方法的计算机软件程序。
CN201811545443.3A 2018-12-17 2018-12-17 一种基于特征量的闪存寿命预测方法、系统及存储介质 Active CN109830255B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201811545443.3A CN109830255B (zh) 2018-12-17 2018-12-17 一种基于特征量的闪存寿命预测方法、系统及存储介质

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201811545443.3A CN109830255B (zh) 2018-12-17 2018-12-17 一种基于特征量的闪存寿命预测方法、系统及存储介质

Publications (2)

Publication Number Publication Date
CN109830255A true CN109830255A (zh) 2019-05-31
CN109830255B CN109830255B (zh) 2020-11-17

Family

ID=66859819

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811545443.3A Active CN109830255B (zh) 2018-12-17 2018-12-17 一种基于特征量的闪存寿命预测方法、系统及存储介质

Country Status (1)

Country Link
CN (1) CN109830255B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111552650A (zh) * 2020-04-13 2020-08-18 深圳市时创意电子有限公司 一种数据保存方法、装置、存储介质和数据存储设备
CN112309480A (zh) * 2020-10-12 2021-02-02 华中科技大学 一种闪存存储装置的失效预警装置及失效预警方法
CN112817525A (zh) * 2021-01-19 2021-05-18 置富科技(深圳)股份有限公司 闪存芯片可靠性等级预测方法、装置及存储介质
CN112908391A (zh) * 2021-02-08 2021-06-04 置富科技(深圳)股份有限公司 一种基于数学模型的闪存分类方法及装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103729683A (zh) * 2013-09-18 2014-04-16 国家电网公司 计量资产的寿命评估方法
CN107967928A (zh) * 2017-10-18 2018-04-27 武汉忆数存储技术有限公司 一种基于数学模型的闪存芯片寿命预测方法
US20180174658A1 (en) * 2016-12-15 2018-06-21 Fanuc Corporation Machine learning apparatus, life prediction apparatus, numerical control device, production system, and machine learning method for predicting life of nand flash memory
CN109634527A (zh) * 2018-12-12 2019-04-16 华中科技大学 一种ssd内实现的闪存寿命预测方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103729683A (zh) * 2013-09-18 2014-04-16 国家电网公司 计量资产的寿命评估方法
US20180174658A1 (en) * 2016-12-15 2018-06-21 Fanuc Corporation Machine learning apparatus, life prediction apparatus, numerical control device, production system, and machine learning method for predicting life of nand flash memory
CN107967928A (zh) * 2017-10-18 2018-04-27 武汉忆数存储技术有限公司 一种基于数学模型的闪存芯片寿命预测方法
CN109634527A (zh) * 2018-12-12 2019-04-16 华中科技大学 一种ssd内实现的闪存寿命预测方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111552650A (zh) * 2020-04-13 2020-08-18 深圳市时创意电子有限公司 一种数据保存方法、装置、存储介质和数据存储设备
CN112309480A (zh) * 2020-10-12 2021-02-02 华中科技大学 一种闪存存储装置的失效预警装置及失效预警方法
CN112817525A (zh) * 2021-01-19 2021-05-18 置富科技(深圳)股份有限公司 闪存芯片可靠性等级预测方法、装置及存储介质
CN112908391A (zh) * 2021-02-08 2021-06-04 置富科技(深圳)股份有限公司 一种基于数学模型的闪存分类方法及装置
CN112908391B (zh) * 2021-02-08 2022-04-12 置富科技(深圳)股份有限公司 一种基于数学模型的闪存分类方法及装置

Also Published As

Publication number Publication date
CN109830255B (zh) 2020-11-17

Similar Documents

Publication Publication Date Title
CN107967928B (zh) 一种基于数学模型的闪存芯片寿命预测方法
CN109830255A (zh) 一种基于特征量的闪存寿命预测方法、系统及存储介质
CN109637576A (zh) 一种基于支持向量回归的闪存寿命预测方法
CN109830254A (zh) 一种闪存寿命预测方法、系统、存储介质
CN104332178B (zh) 固态非易失性存储单元的部分重新编程
CN109634527A (zh) 一种ssd内实现的闪存寿命预测方法
CN101529526B (zh) 用于估计和报告闪存盘存储器的预期寿命的方法
CN109817267B (zh) 一种基于深度学习的闪存寿命预测方法、系统及计算机可读存取介质
US10089222B2 (en) Retention management for phase change memory lifetime improvement through application and hardware profile matching
CN108766496B (zh) 一种在线动态预测闪存芯片寿命的方法及装置
US8806106B2 (en) Estimating wear of non-volatile, solid state memory
US9263158B2 (en) Determining data retention time in a solid-state non-volatile memory
US8811074B2 (en) Parametric tracking to manage read disturbed data
US8938597B2 (en) Restoring virtualized GCU state information
CN103514958B (zh) 一种emmc芯片寿命检测方法
CN105097050B (zh) 一种存储器寿命测试方法
CN108831517A (zh) 一种基于操作时间或电流判断闪存芯片可靠性的方法及测试装置
CN107636623A (zh) 基于电力状态的巡查刷洗时段
CN110728008B (zh) 一种用于确定智能电表的期望寿命的方法及装置
US7590001B2 (en) Flash memory with optimized write sector spares
US20140052897A1 (en) Dynamic formation of garbage collection units in a memory
KR101547134B1 (ko) 메모리 관리 장치 및 방법
CN109871594A (zh) 一种NAND Flash特性模型建立方法
KR101909929B1 (ko) 가상 낸드플래시 구현 방법 및 이를 기록한 컴퓨터 프로그램
CN109815534A (zh) 一种基于决策树算法的闪存寿命预测方法及装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CP03 Change of name, title or address
CP03 Change of name, title or address

Address after: 430000 room 806-807, 8 / F, high tech building, 6-12 / F, scientific research building, No. 11, Jiayuan Road, Wuhan East Lake New Technology Development Zone, Wuhan, Hubei Province

Patentee after: Wuhan Zhifu Semiconductor Technology Co.,Ltd.

Address before: Room 306, building C, Huazhong Shuguang Software Park, 1 Guanshan 1st Road, Donghu New Technology Development Zone, Wuhan City, Hubei Province, 430000

Patentee before: WUHAN RECADATA STORAGE TECHNOLOGY CO.,LTD.