CN112309480A - 一种闪存存储装置的失效预警装置及失效预警方法 - Google Patents

一种闪存存储装置的失效预警装置及失效预警方法 Download PDF

Info

Publication number
CN112309480A
CN112309480A CN202011085252.0A CN202011085252A CN112309480A CN 112309480 A CN112309480 A CN 112309480A CN 202011085252 A CN202011085252 A CN 202011085252A CN 112309480 A CN112309480 A CN 112309480A
Authority
CN
China
Prior art keywords
flash memory
failure
characteristic quantity
early warning
storage device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202011085252.0A
Other languages
English (en)
Inventor
刘政林
潘玉茜
陈卓
林羽盛
汪钊旭
齐明阳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Huazhong University of Science and Technology
Original Assignee
Huazhong University of Science and Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Huazhong University of Science and Technology filed Critical Huazhong University of Science and Technology
Priority to CN202011085252.0A priority Critical patent/CN112309480A/zh
Publication of CN112309480A publication Critical patent/CN112309480A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/34Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention
    • G11C16/349Arrangements for evaluating degradation, retention or wearout, e.g. by counting erase cycles
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/008Reliability or availability analysis
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/56External testing equipment for static stores, e.g. automatic test equipment [ATE]; Interfaces therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Techniques For Improving Reliability Of Storages (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)

Abstract

本发明公开了一种闪存储装置的失效预警装置及失效预警方法,属于闪存可靠性保障技术领域,包括:特征量获取模块和失效判断模块;特征量获取模块,用于测量目标单元的特征量并发送至失效判断模块;目标单元为闪存存储装置中需要进行质量度量的单元,特征量的变化能够体现闪存存储装置的可靠性变化,且特征量包括编程/擦除操作次数和错误率之外的至少一种参数;失效判断模块,用于判断特征量获取模块测量得到的特征量是否满足预设的失效预警条件,若满足,则判定闪存存储装置存在失效的可能并发出预警信息。本发明能够准确地对闪存存储装置进行失效预警,同时不会影响闪存存储装置的性能。

Description

一种闪存存储装置的失效预警装置及失效预警方法
技术领域
本发明属于闪存可靠性保障技术领域,更具体地,涉及一种闪存存储装置的失效预警装置及失效预警方法。
背景技术
随着大数据技术与云存储的发展,存储器作为电子设备中存储数据的载体在消费电子、工业控制、军事、航天航空等领域中占有的位置越来越重要。
近年来,工艺技术的提升使存储器能够在同一面积上放置更多的存储单元,但存储密度提高的同时也带来了可靠性下降的问题。目前,传统的保障存储可靠性的方法有损耗均衡、纠错码等。其中,损耗均衡能够保障存储单元以相同的速度磨损,从而提高整个存储系统的使用寿命;纠错码能够纠正存储单元阵列中有限个数的错误。虽然上述方法是目前存储系统中普遍采用的可靠性保障方法,然而随着领域内研究者对闪存可靠性性研究的深入,一些研究发现上述方法并不能完全保障闪存存储器的可靠性。
传统的闪存可靠性保障方法通过两种方法判断单元是否可能失效:1、编程/擦除操作次数是否超过数据手册标称值;2、错误率是否可能超过纠错码纠错能力。这两种方法一定程度上能够保证闪存存储装置的可靠性,但是,实验发现,闪存芯片的实际寿命远远超过了数据手册所标称的编程/擦除操作次数,而且同一型号的闪存芯片的实际寿命也并不完全一致,此外,还有研究表明,有些闪存存储装置失效与否与其中闪存芯片的错误率并不是严格相关。因此,上述两种方法中,前者存在无法充分利用闪存存储器实际寿命的问题;后者容易出现数据失效。目前也有一些方法结合智能算法预测闪存的剩余寿命来提高系统可靠性,但数据传输及算法运行将会降低系统的性能。
总体而言,传统的闪存可靠性保障方法无法在保障闪存可靠性的同时不影响闪存存储装置的性能。
发明内容
针对现有技术的缺陷和改进需求,本发明提供了一种闪存存储装置的失效预警装置及失效预警方法,旨在解决传统的闪存可靠性保障方法无法在保障闪存可靠性的同时不影响闪存存储装置性能的技术问题。
为实现上述目的,按照本发明的一个方面,提供了一种闪存存储装置的失效预警装置,包括:特征量获取模块和失效判断模块;
特征量获取模块,用于测量目标单元的特征量并发送至失效判断模块;目标单元为闪存存储装置中需要进行质量度量的单元,特征量的变化能够体现闪存存储装置的可靠性变化,且特征量包括编程/擦除操作次数和错误率之外的至少一种参数;
失效判断模块,用于判断特征量获取模块测量得到的特征量是否满足预设的失效预警条件,若满足,则判定闪存存储装置存在失效的可能并发出预警信息。
进一步地,失效预警装置由硬件电路实现。
进一步地,失效预警装置在闪存存储器的闪存控制器内实现。
进一步地,判断特征量是否满足预设的失效预警条件,具体包括:
按照预设的运算模型,将特征量转换为对应的可靠性指标,并对可靠性指标进行阈值判断,根据阈值判断的结果确定是否满足失效预警条件;阈值与运算模型相对应。
在一些可选的实施方式中,特征量为目标操作的操作时间。
进一步地,目标操作为擦除操作,运算模型为:可靠性指标=擦除操作的操作时间-闪存存储器标准擦除时间的最小值;时效预警条件为:可靠性指标大于等于阈值;
或者,目标操作为编程操作,运算模型为:可靠性指标=编程操作的操作时间;失效预警条件为:可靠性指标小于阈值。
在一些可选的实施方式中,特征量包括:目标操作的操作时间以及目标单元在读操作中的原始错误比特数。
进一步地,运算模型为:
y=b0+b1*Tc+b2*Er+c;
其中,y表示将特征量转换得到的可靠性指标,Tc表示目标操作的操作时间,Er表示目标单元在读操作中的原始比特错误数,b0、b1、b2和c分别为模型系数。
进一步地,阈值的确定方式包括:
获取闪存装置在正常状态下,多组目标单元的目标操作的操作时间和在读操作中的原始比特错误数,利用运算模型计算相应的可靠性指标,从而确定闪存装置在正常状态下的可靠性指标的取值范围;
获取闪存装置在失效状态下,多组目标单元的目标操作的操作时间和在读操作中的原始比特错误数,利用运算模型计算相应的可靠性指标,从而确定闪存装置在失效状态下的可靠性指标的取值范围;
根据闪存装置在正常状态下和失效状态下的可靠性指标的取值范围确定阈值,以使得两个取值范围分别位于阈值的两边。
按照本发明的另一个方面,提供了一种闪存存储装置的失效预警方法,该失效预警方法基于本发明提供的闪存存储装置的失效预警装置;该失效预警方法包括:
利用特征量获取模块测量目标单元的特征量并发送至失效判断模块;
利用失效判断模块判断特征量获取模块测量得到的特征量是否满足预设的失效预警条件,若满足,则判定闪存存储装置存在失效的可能并发出预警信息。
总体而言,通过本发明所构思的以上技术方案,能够取得以下有益效果:
(1)本发明使用编程/擦除操作次数和错误率之外的参数对闪存存储装置进行失效预警,能够充分利用闪存的失效特性,弥补传统方法无法避免不同类型失效现象的缺陷,准确实现失效预警,有效保障闪存存储装置的可靠性;此外,本发明在失效预警过程中,无需利用智能算法对特征量进行复杂的运算,因此,不会影响闪存存储装置本身的性能。总体而言,本发明能够准确地对闪存存储装置进行失效预警,同时不会影响闪存存储装置的性能。
(2)本发明所提供的闪存存储装置的失效预警装置,由硬件电路实现,能够减少在失效预警过程中的资源开销,并加快相关的计算速度,进一步减小对闪存存储装置性能的影响。
(3)本发明所提供的闪存存储装置的失效预警装置,在闪存控制器内实现,能够在闪存控制器操作闪存的同时获取特征量并进行失效判断,从而有效减少测量时间和数据传输时间,进一步减少对闪存存储装置性能的影响。
附图说明
图1为本发明实施例提供的闪存存储装置的失效预警装置示意图;
图2为本发明实施例提供的闪存擦除时间随闪存可靠性变化的示意图;
图3为本发明实施例提供的特征量获取模块获取目标单元的擦除时间的流程示意图;
图4为本发明实施例提供的失效判断模块示意图;
图5为本发明实施例提供的失效判断模块判断闪存存储装置是否失效的流程图;
图6为本发明实施例提供的闪存存储装置的失效预警方法流程图。
具体实施方式
为了使本发明的目的、技术方案及优点更加清楚明白,以下结合附图及实施例,对本发明进行进一步详细说明。应当理解,此处所描述的具体实施例仅仅用以解释本发明,并不用于限定本发明。此外,下面所描述的本发明各个实施方式中所涉及到的技术特征只要彼此之间未构成冲突就可以相互组合。
在本发明中,本发明及附图中的术语“第一”、“第二”等(如果存在)是用于区别类似的对象,而不必用于描述特定的顺序或先后次序。
针对现有的闪存可靠性保障方法无法在保障闪存可靠性的同时不影响闪存存储装置性能的问题,本发明提供了一种闪存存储装置的失效预警装置及失效预警方法,其整体思路在于,充分利用闪存的失效特性,使用编程/擦除操作次数和错误率之外的能够反映闪存芯片实际寿命的参数对闪存存储装置进行失效预警,以准确实现对闪存存储装置的失效预警,并且在进行失效预警过程中避免是使用复杂的计算方式,以避免影响闪存存储装置本身的性能。以下为实施例。
实施例1:
一种闪存存储装置的失效预警装置,如图1所示,包括:特征量获取模块和失效判断模块;
特征量获取模块,用于测量目标单元的特征量并发送至失效判断模块;目标单元为闪存存储装置中需要进行质量度量的单元,特征量的变化能够体现闪存存储装置的可靠性变化,且特征量包括编程/擦除操作次数和错误率之外的至少一种参数;
失效判断模块,用于判断特征量获取模块测量得到的特征量是否满足预设的失效预警条件,若满足,则判定闪存存储装置存在失效的可能并发出预警信息;
为了进一步减少资源开销,加快计算速度,作为一种优选的实施方式,本实施例中,失效预警装置由硬件电路实现,并且如图1所示,本实施例中,失效预警装置在闪存存储器的闪存控制器内实现,由此能够在闪存控制器操作闪存的同时获取特征量并进行失效判断,从而有效减少测量时间和数据传输时间,进一步减少对闪存存储装置性能的影响。图1中,特征量获取模块和失效判断模块之外的模块为闪存控制器中的常规功能模块,其中,way controller模块用于和Flash对接,实现对Flash的控制,完成上位机下发的命令;pagebuffer模块用于数据缓存。
由于芯片的工艺差异问题,不同种类芯片最佳的判断标准不同,仅通过未处理特征量可能无法100%预防失效,针对这一问题,本实施例中,结合了每种芯片的具体变化特征去调整特征量处理方式及判断标准,相应地,判断特征量是否满足预设的失效预警条件,具体包括:
按照预设的运算模型,将特征量转换为对应的可靠性指标,并对可靠性指标进行阈值判断,根据阈值判断的结果确定是否满足失效预警条件;阈值与运算模型相对应。
为了进一步减小对闪存存储装置的性能影响,可选地,特征量仅包含一项参数时,运算模型可选用线性模型;特征量包含多项参数时,运算模型可采用多元线性回归模型;
作为一种可选的实施方式,本实施例中,特征量为目标操作的操作时间,且该目标操作具体为擦除操作;由于闪存以块为单位执行擦除操作,相应地,本实施例中,目标单元为闪存中的块,应当说明的是,采用其他的特征量时,目标单元也可相应为其他的操作单位;闪存芯片的擦除时间与芯片阈值电压相关,如图2所示,存储单元可靠性程度越低阈值电压右移所需擦除时间越大,随着可靠性程度的降低,擦除时间会阶梯式增加,因此,擦除操作的操作时间一定程度上能够反映闪存实际的寿命,本实施例以擦除操作的操作时间作为特征量进行失效判断,能够准确地对闪存存储装置进行失效预警;
本实施例中,用于将特征量转换为相应的可靠性指标的运算模型为:可靠性指标=擦除操作的操作时间-闪存存储器标准擦除时间的最小值;失效预警条件为:可靠性指标大于等于阈值;
如图2所示,当擦除操作的操作时间偏离标称值的程度较大时,表明闪存芯片即将失效,闪存存储装置也将变得不可靠,本实施例以擦除操作的操作时间与标准擦除时间最小值之间的差值为可靠性指标,并设置失效预警条件为可靠性指标大于等于阈值,即准确反映了闪存芯片的实际寿命的变化情况。
如图1所示,本实施例中,失效预警装置的输入为:R/B信号,目标储存器标准擦除时间的最小值;失效预警装置的输出为:失效判断结果。失效预警装置中,特征量获取模块的输入为R/B信号,输出为测量得到的当前状态下的单元擦除时间;失效判断模块的输入为目标储存器标准擦除时间的最小值及当前状态下的擦除操作的操作时间,输出为失效判断结果;
本实施例中,为了测量擦除操作的操作时间,特征量获取模块中包含一个计数器,特征量获取模块获取目标单元的过程,如图3所示,包括:
(1)持续检测检测闪存芯片的R/B信号状态是否为低,并在R/B信号状态为低时,转入步骤(2);
(2)判断当前操作的操作类型,若为擦除操作,则转入步骤(3);否则,转入步骤(1);
(3)计数器计数;
(4)判断R/B信号状态是否为低,若R/B信号状态为低,则转入步骤(3);否则,转入步骤(5);
(5)计数器清零,并输出当前计数结果,转到步骤(1)。
本实施例中,为了判断特征量获取模块测量得到的特征量是否满足失效预警条件,如图4所示,失效判断模块中具体包含一个差值计算单元和一个判断器,其中,差值计算单元的输入为特征量获取模块测量得到的擦除操作的操作时间Tc和系统输入的闪存存储装置标准擦除时间的最小值Te,输出为Tc与Te之间的差值Dt;判断器的输入为差值计算单元输出的差值Dt,输出为失效判断结果;具体地,失效判断模块判断特征量是否满足失效预警条件的过程如图5所示,具体包括:
(1)差值计算单元计算擦除时间Tc与Te之差Dt,将差值Dt传输给判断器;
(2)判断器判断Dt的值是否大于等于阈值Th;若Dt大于等于Th,则失效判断模块输出预警标志;若Dt小于Th,则输出正常标志;
阈值Th以及标准擦除时间最小值Te的取值可由可靠性实验值和数据手册值根据系统时钟频率转换;本实施例中,Te设置为700000,系统时钟频率为100MHZ;失效预警标志的值为1,正常标志的值为0;
本实施例在FPGA开发板中实现后的资源开销如表1所示,从表1可见本发明提出的装置对系统的资源占用非常少。同时,失效预警装置在控制器对目标单元操作的同时对特征量进行测量,测量后直接传输给失效判断单元,减少了测量时间和传输时间。由此可见,本发明提出的失效预警装置对系统性能的影响非常小。
将阈值Th设置为不同取值时,对实验样品的预警准确率和寿命延长倍数如表2所示。表2数据为本发明提出的失效预警装置对336个样本块(从56个芯片随机抽样得到)的常温测试实验数据验证得到。从表2可以看到,本发明提出的预警装置能够很好地利用存储器的实际寿命,同时能够做到对失效现象的有效预警(100%准确率下能够延长1倍以上)。
表1
Resource Used
Flip Flops 514
Latches 1
Latch-thrus 0
AND/OR logics 2
Slice LUTs used as logic 266
Slice LUTs used as Memory 102
Slice LUTs used used exclusively as route-thrus 47
RAMB36E1 0
FIFO36E1 0
RAMB18E1 0
FIFO18E1 0
BUFGs 1
BUFGCTRLs 0
IDELAYE2s 0
IDELAYE2_FINEDELAYs 0
ILOGICE2s 0
ILOGICE3s 0
ISERDESE2s 0
ODELAYE2/ODELAYE2_FINEDELAYs 0
OLOGICE2/OLOGICE3/OSERDESE2s 0
IN_FIFOs 0
OUT_FIFOs 0
BUFRs 0
表2
阈值Th 600000 800000 1000000
准确率 100% 92.3% 71.5%
延长寿命倍数 1.72倍 4.67倍 6.92倍
实施例2:
一种闪存存储装置的失效预警装置,本实施例与上述实施例1类似,所不同之处在于,本实施例中,目标操作为编程操作,运算模型为:可靠性指标=编程操作的操作时间,失效预警条件为:可靠性指标小于阈值;
根据闪存芯片可靠性研究成果,随着实际的编程/擦除周期次数的增加,存储单元的阈值电压分布右移展宽:结合闪存编程流程可知,当实际编程/擦除周期次数增加时,存储单元氧化层中的陷阱数增加,阈值电压分布向增加的方向移动,存储单元的阈值电压变大,相应地编程时间减少;当编程时间小于一定程度时,表明阈值电压偏移的程度过大,存在失效的风险;因此,编程操作的操作时间一定程度上能够反映闪存实际的寿命,本实施例以编程操作的操作时间作为特征量进行失效判断,能够准确地对闪存存储装置进行失效预警;
相应地,本实施例中,失效预警装置的输入为:R/B信号;失效预警装置的输出为:失效判断结果。失效预警装置中,特征量获取模块的输入为R/B信号,输出为测量得到的当前状态下的单元编程操作时间;失效判断模块的输入为当前状态下的编程操作操作的操作时间,输出为失效判断结果;
本实施例中的特征量获取模块与上述实施例1中的特征量获取模块的实现方式类似,所不同之处在于,其中的计数器仅在当前操作为编程操作时开始计数;本实施例中的失效判断模块与上述实施例1中的失效判断模块类似,所不同之处在于,本实施例中,失效判断模块中不包含差值计算单元,其中的判断器直接将当前状态下的编程操作操作的操作时间与阈值进行比较,并输出相应的失效判断结果。
实施例3:
一种闪存存储装置的失效预警装置,包括:特征量获取模块和失效判断模块;
特征量获取模块,用于测量目标单元的特征量并发送至失效判断模块;目标单元为闪存存储装置中需要进行质量度量的单元,特征量的变化能够体现闪存存储装置的可靠性变化,且特征量包括编程/擦除操作次数和错误率之外的至少一种参数;
失效判断模块,用于判断特征量获取模块测量得到的特征量是否满足预设的失效预警条件,若满足,则判定闪存存储装置存在失效的可能并发出预警信息;
为了进一步减少资源开销,加快计算速度,作为一种优选的实施方式,本实施例中,失效预警装置由硬件电路实现,并本实施例中,失效预警装置在闪存存储器的闪存控制器内实现,由此能够在闪存控制器操作闪存的同时获取特征量并进行失效判断,从而有效减少测量时间和数据传输时间,进一步减少对闪存存储装置性能的影响。
由于芯片的工艺差异问题,不同种类芯片最佳的判断标准不同,仅通过未处理特征量可能无法100%预防失效,针对这一问题,本实施例中,结合了每种芯片的具体变化特征去调整特征量处理方式及判断标准,相应地,判断特征量是否满足预设的失效预警条件,具体包括:
按照预设的运算模型,将特征量转换为对应的可靠性指标,并对可靠性指标进行阈值判断,根据阈值判断的结果确定是否满足失效预警条件;阈值与运算模型相对应;
特征量仅包含一项参数时,运算模型为线性模型;特征量包含多项参数时,运算模型为多元线性回归模型;
作为一种可选的实施方式,本实施例中,特征量包括目标操作的操作时间以及目标单元在读操作中的原始错误比特数,且该目标操作具体为擦除操作;由于闪存以块为单位执行擦除操作,相应地,本实施例中,目标单元为闪存中的块;
本实施例中,用于将特征量转换为相应的可靠性指标的运算模型为:y=b0+b1*Tc+b2*Er+c;
其中,y表示将特征量转换得到的可靠性指标,Tc表示目标操作的操作时间,Er表示目标单元在读操作中的原始比特错误数,b0、b1、b2和c分别为模型系数;
该运算模型可通过实验数据建立多元线性回归模型获得,具体实验步骤如下:
(1)芯片放入测试系统;
(2)测试系统对芯片进行擦除操作,记录擦除时间;
(3)测试系统对芯片写入随机数据,记录编程时间;
(4)测试系统对芯片进行读操作,测试系统记录读取时间和原始错误比特数;
(5)重复执行步骤(2)~(4)直到芯片失效;
获取实验数据后,以擦除时间(Tc)与原始错误数(Er)为输入,可操作周期数(y)为输出,建立函数模型,建立过程如下:
设多项式为y=b0+b1*Tc+b2*Er+c,实验数据中可操作周期数为Y;
通过最小二乘法计算令|∑yi-∑Yi|最小的系数b0、b1、b2和c;
将误差最小的系数b0、b1、b2和c作为函数y=b0+b1*Tc+b2*Er+c系数,函数记为H(Tc,Er)。
函数H(Tc,Er)通过现场可编程阵列(FPGA),以数字电路形式实现在失效判断模块中;
本实施例中,阈值的确定方式包括:
获取闪存装置在正常状态下,多组目标单元的目标操作的操作时间和在读操作中的原始比特错误数,利用运算模型计算相应的可靠性指标,从而确定闪存装置在正常状态下的可靠性指标的取值范围;
获取闪存装置在失效状态下,多组目标单元的目标操作的操作时间和在读操作中的原始比特错误数,利用运算模型计算相应的可靠性指标,从而确定闪存装置在失效状态下的可靠性指标的取值范围;
根据闪存装置在正常状态下和失效状态下的可靠性指标的取值范围确定阈值,以使得两个取值范围分别位于阈值的两边;
本实施例中,所确定得到的阈值为0,且闪存装置在正常状态下的可靠性指标的取值均大于0,在失效状态下的可靠性指标的取值均小于0;
相应地,本实施例中,失效预警条件为:可靠性指标小于等于阈值。
应当说明的是,除上述实施例1~3之外,在本发明其他的一些实施例中,可以采用其他的特征量,如芯片电流、功耗等,所采用的特征量中也可以包含编程/擦除操作次数和错误率,更多的特征量示例,在此将不作一一列举。
实施例4:
一种闪存存储装置的失效预警方法,本实施例基于上述实施例1~3任一项提供的闪存存储装置的失效预警装置,如图6所示,本实施例包括:
利用特征量获取模块测量目标单元的特征量并发送至失效判断模块;
利用失效判断模块判断特征量获取模块测量得到的特征量是否满足预设的失效预警条件,若满足,则判定闪存存储装置存在失效的可能并发出预警信息。
本领域的技术人员容易理解,以上所述仅为本发明的较佳实施例而已,并不用以限制本发明,凡在本发明的精神和原则之内所作的任何修改、等同替换和改进等,均应包含在本发明的保护范围之内。

Claims (10)

1.一种闪存存储装置的失效预警装置,其特征在于,包括:特征量获取模块和失效判断模块;
所述特征量获取模块,用于测量目标单元的特征量并发送至所述失效判断模块;所述目标单元为所述闪存存储装置中需要进行质量度量的单元,所述特征量的变化能够体现所述闪存存储装置的可靠性变化,且所述特征量包括编程/擦除操作次数和错误率之外的至少一种参数;
所述失效判断模块,用于判断所述特征量获取模块测量得到的特征量是否满足预设的失效预警条件,若满足,则判定所述闪存存储装置存在失效的可能并发出预警信息。
2.如权利要求1所述的闪存存储装置的失效预警装置,其特征在于,所述失效预警装置由硬件电路实现。
3.如权利要求2所述的闪存存储装置的失效预警装置,其特征在于,所述失效预警装置在所述闪存存储器的闪存控制器内实现。
4.如权利要求1-3任一项所述的闪存存储装置的失效预警装置,其特征在于,判断所述特征量是否满足预设的失效预警条件,具体包括:
按照预设的运算模型,将所述特征量转换为对应的可靠性指标,并对所述可靠性指标进行阈值判断,根据阈值判断的结果确定是否满足所述失效预警条件;所述阈值与所述运算模型相对应。
5.如权利要求4任一项所述的闪存存储装置的失效预警装置,其特征在于,所述特征量为目标操作的操作时间。
6.如权利要求5所述的闪存存储装置的失效预警装置,其特征在于,所述目标操作为擦除操作,所述运算模型为:可靠性指标=擦除操作的操作时间-所述闪存存储器标准擦除时间的最小值;所述失效预警条件为:所述可靠性指标大于等于所述阈值;
或者,所述目标操作为编程操作,所述运算模型为:可靠性指标=编程操作的操作时间;所述失效预警条件为:所述可靠性指标小于所述阈值。
7.如权利要求4所述的闪存存储装置的失效预警装置,其特征在于,所述特征量包括:目标操作的操作时间以及所述目标单元在读操作中的原始错误比特数。
8.如权利要求7所述的闪存存储装置的失效预警装置,其特征在于,所述运算模型为:
y=b0+b1*Tc+b2*Er+c;
其中,y表示将所述特征量转换得到的可靠性指标,Tc表示所述目标操作的操作时间,Er表示所述目标单元在读操作中的原始比特错误数,b0、b1、b2和c分别为模型系数。
9.如权利要求8所述的闪存存储装置的失效预警装置,其特征在于,所述阈值的确定方式包括:
获取所述闪存装置在正常状态下,多组所述目标单元的目标操作的操作时间和在读操作中的原始比特错误数,利用所述运算模型计算相应的可靠性指标,从而确定所述闪存装置在正常状态下的可靠性指标的取值范围;
获取所述闪存装置在失效状态下,多组所述目标单元的目标操作的操作时间和在读操作中的原始比特错误数,利用所述运算模型计算相应的可靠性指标,从而确定所述闪存装置在失效状态下的可靠性指标的取值范围;
根据所述闪存装置在正常状态下和失效状态下的可靠性指标的取值范围确定所述阈值,以使得两个取值范围分别位于所述阈值的两边。
10.一种闪存存储装置的失效预警方法,其特征在于,所述失效预警方法基于权利要求1-9任一项所述的闪存存储装置的失效预警装置;所述失效预警方法包括:
利用所述特征量获取模块测量目标单元的特征量并发送至所述失效判断模块;
利用所述失效判断模块判断所述特征量获取模块测量得到的特征量是否满足预设的失效预警条件,若满足,则判定所述闪存存储装置存在失效的可能并发出预警信息。
CN202011085252.0A 2020-10-12 2020-10-12 一种闪存存储装置的失效预警装置及失效预警方法 Pending CN112309480A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202011085252.0A CN112309480A (zh) 2020-10-12 2020-10-12 一种闪存存储装置的失效预警装置及失效预警方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202011085252.0A CN112309480A (zh) 2020-10-12 2020-10-12 一种闪存存储装置的失效预警装置及失效预警方法

Publications (1)

Publication Number Publication Date
CN112309480A true CN112309480A (zh) 2021-02-02

Family

ID=74489755

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011085252.0A Pending CN112309480A (zh) 2020-10-12 2020-10-12 一种闪存存储装置的失效预警装置及失效预警方法

Country Status (1)

Country Link
CN (1) CN112309480A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112908399A (zh) * 2021-02-05 2021-06-04 置富科技(深圳)股份有限公司 闪存的异常检测方法、装置、计算机设备及存储介质
CN116302633A (zh) * 2023-01-18 2023-06-23 北京得瑞领新科技有限公司 闪存存储器的逻辑单元失效管理方法、装置、介质及设备
CN116469446A (zh) * 2022-02-18 2023-07-21 武汉置富半导体技术有限公司 闪存芯片的可靠性测试方法、装置、存储介质及设备

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1851826A (zh) * 2006-01-25 2006-10-25 华为技术有限公司 随机存储器失效的检测处理方法及其系统
CN106297898A (zh) * 2015-06-03 2017-01-04 杭州海康威视数字技术股份有限公司 一种NAND Flash存储器的寿命预警方法及装置
CN109637576A (zh) * 2018-12-17 2019-04-16 华中科技大学 一种基于支持向量回归的闪存寿命预测方法
CN109817267A (zh) * 2018-12-17 2019-05-28 武汉忆数存储技术有限公司 一种基于深度学习的闪存寿命预测方法、系统及计算机可读存取介质
CN109815534A (zh) * 2018-12-17 2019-05-28 武汉忆数存储技术有限公司 一种基于决策树算法的闪存寿命预测方法及装置
CN109830255A (zh) * 2018-12-17 2019-05-31 武汉忆数存储技术有限公司 一种基于特征量的闪存寿命预测方法、系统及存储介质
CN110580932A (zh) * 2019-08-29 2019-12-17 华中科技大学 一种应用于损耗均衡的存储单元质量度量方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1851826A (zh) * 2006-01-25 2006-10-25 华为技术有限公司 随机存储器失效的检测处理方法及其系统
CN106297898A (zh) * 2015-06-03 2017-01-04 杭州海康威视数字技术股份有限公司 一种NAND Flash存储器的寿命预警方法及装置
CN109637576A (zh) * 2018-12-17 2019-04-16 华中科技大学 一种基于支持向量回归的闪存寿命预测方法
CN109817267A (zh) * 2018-12-17 2019-05-28 武汉忆数存储技术有限公司 一种基于深度学习的闪存寿命预测方法、系统及计算机可读存取介质
CN109815534A (zh) * 2018-12-17 2019-05-28 武汉忆数存储技术有限公司 一种基于决策树算法的闪存寿命预测方法及装置
CN109830255A (zh) * 2018-12-17 2019-05-31 武汉忆数存储技术有限公司 一种基于特征量的闪存寿命预测方法、系统及存储介质
CN110580932A (zh) * 2019-08-29 2019-12-17 华中科技大学 一种应用于损耗均衡的存储单元质量度量方法

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112908399A (zh) * 2021-02-05 2021-06-04 置富科技(深圳)股份有限公司 闪存的异常检测方法、装置、计算机设备及存储介质
CN112908399B (zh) * 2021-02-05 2022-01-18 置富科技(深圳)股份有限公司 闪存的异常检测方法、装置、计算机设备及存储介质
CN116469446A (zh) * 2022-02-18 2023-07-21 武汉置富半导体技术有限公司 闪存芯片的可靠性测试方法、装置、存储介质及设备
CN116302633A (zh) * 2023-01-18 2023-06-23 北京得瑞领新科技有限公司 闪存存储器的逻辑单元失效管理方法、装置、介质及设备
CN116302633B (zh) * 2023-01-18 2024-04-09 北京得瑞领新科技有限公司 闪存存储器的逻辑单元失效管理方法、装置、介质及设备

Similar Documents

Publication Publication Date Title
CN112309480A (zh) 一种闪存存储装置的失效预警装置及失效预警方法
CN112382582B (zh) 一种晶圆测试分类方法及系统
CN111190111B (zh) 电化学储能电池荷电状态估算方法、装置及系统
CN104462808A (zh) 安全水平位移与水位的滑动可变窗口动态数据拟合方法
CN108549047A (zh) 一种基于加速退化试验的电能表寿命评估方法
CN111998918A (zh) 一种误差校正方法、误差校正装置及流量传感系统
US20050008069A1 (en) Power consumption estimation
CN112331249B (zh) 预测存储器件寿命的方法、装置、终端设备和存储介质
CN115015723A (zh) GaN功率器件的状态监测方法、装置、计算机设备和介质
CN116955045B (zh) 一种远程jtag多路复用测试方法及系统
CN114325534A (zh) 信号测试方法、装置、设备及可读存储介质
CN105652210A (zh) 一种依电池状态量变化过程进行soc修正的方法及系统
CN109815534B (zh) 一种基于决策树算法的闪存寿命预测方法及系统
CN115792583B (zh) 一种车规级芯片的测试方法、装置、设备及介质
CN106405423B (zh) 电池监控方法及电池监控系统
CN111950605A (zh) 表计识别模型的学习方法、装置、设备和表计识别方法
CN114779098B (zh) 一种锂离子电池的状态评估方法及系统
CN104183511A (zh) 一种确定晶圆测试数据规范的界限的方法及晶粒标记方法
CN114323351A (zh) 一种多工位全温度范围的温度传感器测试装置
CN107862132B (zh) 一种用于电路近似计算的自动化节点删除方法
CN105527563A (zh) 片上测量电路及测量方法
CN105372485A (zh) 一种电能表
CN111948507A (zh) 一种不同写操作下相变存储芯片热稳定性预测方法
CN107659466B (zh) 一种量化并计算云端系统吞吐能力服务等级的系统及方法
CN111257758A (zh) 一种电站应急铅酸蓄电池soh估计方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination