CN112908399B - 闪存的异常检测方法、装置、计算机设备及存储介质 - Google Patents

闪存的异常检测方法、装置、计算机设备及存储介质 Download PDF

Info

Publication number
CN112908399B
CN112908399B CN202110159245.9A CN202110159245A CN112908399B CN 112908399 B CN112908399 B CN 112908399B CN 202110159245 A CN202110159245 A CN 202110159245A CN 112908399 B CN112908399 B CN 112908399B
Authority
CN
China
Prior art keywords
flash memory
frequency
detected
reliability
memory block
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202110159245.9A
Other languages
English (en)
Other versions
CN112908399A (zh
Inventor
刘政林
汪钊旭
陈卓
张浩明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Futurepath Technology Co ltd
Original Assignee
Futurepath Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Futurepath Technology Co ltd filed Critical Futurepath Technology Co ltd
Priority to CN202110159245.9A priority Critical patent/CN112908399B/zh
Priority to PCT/CN2021/083537 priority patent/WO2022165955A1/zh
Publication of CN112908399A publication Critical patent/CN112908399A/zh
Application granted granted Critical
Publication of CN112908399B publication Critical patent/CN112908399B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/10Test algorithms, e.g. memory scan [MScan] algorithms; Test patterns, e.g. checkerboard patterns 

Landscapes

  • Techniques For Improving Reliability Of Storages (AREA)
  • Read Only Memory (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)

Abstract

本发明公开了一种闪存的异常检测方法、装置、计算机设备及存储介质,涉及信息技术领域,主要在于能够根据闪存对应的可靠性等级对闪存进行异常检测,从而能够避免由于闪存异常导致的数据存储错误。其中方法包括:获取闪存芯片中待检测闪存块对应的属性特征;将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果;若所述低频预测结果不满足预设可靠性等级要求,则将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果;根据所述高频预测结果,判定所述待检测闪存块是否存在异常。本发明适用于闪存的异常检测。

Description

闪存的异常检测方法、装置、计算机设备及存储介质
技术领域
本发明涉及信息技术领域,尤其是涉及一种闪存的异常检测方法、装置、计算机设备及存储介质。
背景技术
固态硬盘(Solid State Disk),简称SSD,是一种主要是闪存作为永久性存储器的计算机存储设备,固态硬盘由控制单元和存储单元组成,具有读写速度快、防震性强、低功耗、无噪音、重量轻等优点,被广泛应用于工控、视频监控、网络监控、网络终端、导航设备等诸多领域,SSD的存储单元以闪存为主,在使用过程中一旦闪存的氧化层磨损超过一定程度,便会在数据存储过程中出现错误,影响整个存储系统的正常使用。
目前,通常通过对闪存的编程-擦除操作的次数进行限制,以防止闪存由于过度损耗而导致在存储过程中出现错误。然而,这种方式极大地限制了闪存的使用寿命,而且无法在使用过程中了解闪存的实际损耗情况,即无法对闪存进行异常检测,一旦闪存出现异常还继续进行数据存储,会导致数据存储错误,同时这种方式无法避免突发性的闪存数据错误导致的损失。
发明内容
本发明提供了一种闪存的异常检测方法、装置、计算机设备及存储介质,主要在于能够根据闪存对应的可靠性等级对闪存进行异常检测,从而能够避免由于闪存异常导致的数据存储错误。
根据本发明的第一个方面,提供一种闪存的异常检测方法,包括:
获取闪存芯片中待检测闪存块对应的属性特征;
将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果;
若所述低频预测结果不满足预设可靠性等级要求,则将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果;
根据所述高频预测结果,判定所述待检测闪存块是否存在异常。
根据本发明的第二个方面,提供一种闪存的异常检测装置,包括:
获取单元,用于获取闪存芯片中待检测闪存块对应的属性特征;
第一预测单元,用于将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果;
第二预测单元,用于若所述低频预测结果不满足预设可靠性等级要求,则将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果;
判定单元,用于根据所述高频预测结果,判定所述待检测闪存块是否存在异常。
根据本发明的第三个方面,提供一种计算机可读存储介质,其上存储有计算机程序,该程序被处理器执行时实现以下步骤:
获取闪存芯片中待检测闪存块对应的属性特征;
将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果;
若所述低频预测结果不满足预设可靠性等级要求,则将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果;
根据所述高频预测结果,判定所述待检测闪存块是否存在异常。
根据本发明的第四个方面,提供一种计算机设备,包括存储器、处理器及存储在存储器上并可在处理器上运行的计算机程序,所述处理器执行所述程序时实现以下步骤:
获取闪存芯片中待检测闪存块对应的属性特征;
将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果;
若所述低频预测结果不满足预设可靠性等级要求,则将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果;
根据所述高频预测结果,判定所述待检测闪存块是否存在异常。
本发明提供的一种闪存的异常检测方法、装置、计算机设备及存储介质,与目前对闪存的编程-擦除操作的次数进行限制的方式相比,本方明能够获取闪存芯片中待检测闪存块对应的属性特征;并将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果;若所述低频预测结果不满足预设可靠性等级要求,则将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果;最终根据所述高频预测结果,判定所述待检测闪存块是否存在异常,由此通过对待检测闪存块进行低频可靠性预测和高频可靠性预测,能够确定待检测闪存块对应的可靠性预测结果,进而根据该可靠性预测结果能够判定待检测闪存块是否存在异常,在闪存块存在异常的情况下,能够及时对存储数据进行备份,并停止相应的读写操作,从而能够避免发生数据存储错误,同时能够延长闪存块的使用寿命,此外,本发明采用高频可靠性预测和低频可靠性预测相结合,在提高预测结果准确度的同时,能够减少功耗和主控芯片的计算资源占用,进而能够减少对计算机存储设备的影响。
附图说明
此处所说明的附图用来提供对本发明的进一步理解,构成本申请的一部分,本发明的示意性实施例及其说明用于解释本发明,并不构成对本发明的不当限定。在附图中:
图1示出了本发明实施例提供的一种闪存的异常检测方法流程图;
图2示出了本发明实施例提供的另一种闪存的异常检测方法流程图;
图3示出了本发明实施例提供的一种闪存的异常检测装置的结构示意图;
图4示出了本发明实施例提供的另一种闪存的异常检测装置的结构示意图;
图5示出了本发明实施例提供的一种计算机设备的实体结构示意图。
具体实施方式
下文中将参考附图并结合实施例来详细说明本发明。需要说明的是,在不冲突的情况下,本申请中的实施例及实施例中的特征可以相互组合。
目前,对闪存的编程-擦除操作的次数进行限制的方式极大地限制了闪存的使用寿命,而且无法在使用过程中了解闪存的实际损耗情况,即无法对闪存进行异常检测,一旦闪存出现异常还继续进行数据存储,会导致数据存储错误,同时这种方式无法避免突发性的闪存数据错误导致的损失。
为了解决上述问题,本发明实施例提供了一种闪存的异常检测方法,如图1所示,所述方法包括:
101、获取闪存芯片中待检测闪存块对应的属性特征。
其中,闪存芯片包括多个待检测闪存块,该待检测闪存块的数量与闪存芯片的型号和实际使用情况相关,以镁光TLC NAND Flash闪存产品MT29F512G08EBLCE为例,该闪存芯片中包括1352个闪存块,将1267个闪存块作为投入使用,剩余85个为闪存块作为备份,该1267个闪存块为待检测闪存块,此外,待检测闪存块对应的属性特征包括编号、编程和擦除操作的次数、闪存操作时间、工作电流、功耗、电压分布、页原始错误比特数、页原始错误比特率、闪存条件错误页数、条件错误块数中的至少一种属性特征,也可以为其他属性特征,本发明实施例不做具体限定。本发明实施例主要适用于对闪存芯片中的闪存块进行异常检测的场景,本发明实施例的执行主体为能够对闪存块进行异常检测的装置或者设备,具体可以设置在服务器一侧。
对于本发明实施例,在SSD被正常使用时,SSD的主控制芯片会采集并记录每一个待检测闪存块对应的属性特征,具体地,采集待检测闪存块属性特征的过程,可以是在用户正常使用过程中进行采集,也可以是SSD自动对待检测闪存块进行测试,并根据测试结果进行数据采集,例如,在采集待检测块的页原始错误比特数的过程中,装置侧可以读取闪存块中用户写入的数据,并将其与记录的用户写入的数据进行对比,根据对比结果确定页原始错误比特数,此外,还可以在装置侧由SSD主控制芯片在闪存块中写入一些数据,之后读取该数据并将其与记录的写入数据进行对比,根据对比结果确定页原始错误比特数。由此按照上述方式,能够获取待检测闪存块对应的属性特征,以便根据该属性特征对待检测闪存块进行可靠性预测。
102、将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果。
其中,预设可靠性检测模型具体可以为支持向量机可靠检测模型、朴素贝叶斯可靠性检测模型、K近邻可靠性检测模型、决策树可靠性检测模型和神经网络可靠性检测模型,也可以为其他模型,本发明实施例不做具体限定,此外,低频预测结果具体可以为低频可靠性预测等级,具体可以根据闪存块对应的总原始错误比特数,划分成多个可靠性等级,划分的等级数量可以根据实际需求进行设定,例如,闪存块对应的可靠性等级包括一级、二级、三级、四级和五级,预设可靠性等级要求待检测闪存块的可靠性等级必须低于四级,如果待检测闪存块对应的可靠性等级为四级或者五级,则确定待检测闪存块的本次预测结果不满足预设可靠性等级要求。
对于本发明实施例,在对待检测闪存块进行可靠性预测的过程中,为了减少主控制芯片的功耗和计算资源占用,可以先对待检测闪存块进行低频可靠性预测,具体可以在待检测闪存块每经历第一预设次数的编程和擦除操作后,对待检测闪存块的可靠性进行预测,例如,每经历200次编程和擦除操作,将待检测闪存块对应的属性特征输入至预设可靠性预测模型进行可靠性预测,得到待检测闪存块对应的低频预测结果,在低频可靠性等级预测的过程中,如果出现一次低频预测结果不满足预设可靠性等级要求,则对待检测闪存块进行高频可靠性检测;如果低频检测结果满足预设可靠性等级要求,则继续对待检测闪存块进行低频可靠性检测。
具体地,对待检测闪存块对应的属性特征进行线性运算或者非线性运算,并将运算后的属性特征输入至预设可靠性检测模型进行低频可靠性预测,得到待检测闪存块对应的低频预测结果,该低频预测结果具体为待检测闪存块属于不同可靠性等级的概率值,选取各个概率值中的最大值,将该最大值对应的可靠性等级确定为待检测闪存块对应的低频可靠性等级,如果该低频可靠性等级不满足预设可靠性等级要求,则对待检测闪存块进行高频可靠性预测;如果该低频可靠性等级满足预设可靠性等级要求,则对待检测闪存块继续进行低频可靠性检测。
103、若所述低频预测结果不满足预设可靠性等级要求,则将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果。
对于本发明实施例,为了提高闪存块可靠性等级预测的准确度,在低频可靠性预测的过程中如果发现待检测闪存块不满足预设可靠性等级要求,不能直接确定待检测闪存块存在异常,还需要进一步对其进行高频可靠性检测,具体可以在待检测闪存块每经历第二预设次数的编程和擦除操作,对待检测闪存块的可靠性进行预测,例如,每经历20次编程和擦除操作,将待检测闪存块对应的属性特征输入至预设可靠性预测模型进行可靠性预测,得到待检测闪存块对应的高频预测结果。在高频可靠性等级预测的过程中,为了确保预测结果的准确度,如果出现一次高频预测结果不满足预设可靠性等级要求,则继续对待检测闪存块进行高频预测,并累计高频预测结果不满足预设可靠性等级要求的次数,以便根据该累计次数判定闪存块是否存在异常。
具体地,对待检测闪存块对应的属性特征进行线性运算或者非线性运算,并将运算后的属性特征输入至预设可靠性检测模型进行高频可靠性预测,得到待检测闪存块对应的高频预测结果,该高频预测结果具体为待检测闪存块属于不同可靠性等级的概率值,选取各个概率值中的最大值,将该最大值对应的可靠性等级确定为待检测闪存块对应的高频可靠性等级,如果该高频可靠性等级不满足预设可靠性等级要求,则确定出现一次高频预测结果不满足预设可靠性等级要求。
104、根据所述高频预测结果,判定所述待检测闪存块是否存在异常。
对于本发明实施例,在高频可靠性预测的过程中,为了进一步保证预测结果的准确性,当存在多次高频预测结果不满足预设可靠性等级要求时,确定待检测闪存块存在异常,例如,当闪存块经历第一个20次编程和擦除操作后,对其进行高频可靠性预测,如果确定其闪存块不满足预设可靠性等级要求,则继续对其进行高频可靠性预测,如果累计到3次高频预测结果不满足预设可靠性等级要求,则确定待检测闪存块存在异常,可以将其在存储空间中对应的标志位设置为1,正常默认值为0,需要说明的是,为了避免无期限的对待检测闪存块进行高频可靠性预测,可以设定预设时长,例如,如果在1小时内累计到3次高频预测结果不满足预设可靠性等级要求,则确定待检测闪存块存在异常。
本发明实施例提供的一种闪存的异常检测方法,与目前对闪存的编程-擦除操作的次数进行限制的方式相比,本方明能够获取闪存芯片中待检测闪存块对应的属性特征;并将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果;若所述低频预测结果不满足预设可靠性等级要求,则将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果;最终根据所述高频预测结果,判定所述待检测闪存块是否存在异常,由此通过对待检测闪存块进行低频可靠性预测和高频可靠性预测,能够确定待检测闪存块对应的可靠性预测结果,进而根据该可靠性预测结果能够判定待检测闪存块是否存在异常,在闪存块存在异常的情况下,能够及时对存储数据进行备份,并停止相应的读写操作,从而能够避免发生数据存储错误,同时能够延长闪存块的使用寿命,此外,本发明采用高频可靠性预测和低频可靠性预测相结合,在提高预测结果准确度的同时,能够减少功耗和主控芯片的计算资源占用,进而能够减少对计算机存储设备的影响。
进一步的,为了更好的说明上述对闪存块的异常检测过程,作为对上述实施例的细化和扩展,本发明实施例提供了另一种闪存的异常检测方法,如图2所示,所述方法包括:
201、获取闪存芯片中待检测闪存块对应的属性特征。
对于本发明实施例,为了对待检测闪存块进行低频可靠性预测和高频可靠性预测,需要预先构建可靠性预测模型,基于此,所述方法包括:获取与所述闪存芯片相同工艺和型号,且不同批次的样本闪存芯片中闪存块对应的样本属性特征;对所述样本属性特征进行线性运算或者非线性运算,得到运算后的样本属性特征;将所述运算后的样本属性特征作为训练集,利用预设神经网络算法对所述训练集进行训练,构建预设可靠性预测模型。
具体地,选取样本闪存芯片时可以按照以下规则进行选取,选取与待检测闪存芯片具有相同工艺、类型、型号,且与待检测闪存芯片不同批次的样本闪存芯片,由此能够保证训练样本具有多样性,能更好地增强预设可靠性预测模型的泛化能力,减轻后续模型参数优化的担忧,例如,待检测闪存芯片为TLC NAND Flash闪存产品MT29F512G08EBLCE(简称为型号M闪存),该闪存芯片共有1352个闪存块,总容量为512Gb,预设寿命为3000次编程和擦除操作,若某SSD厂商试图使用此TLC闪存颗粒制造容量为480Gb的SSD,将1352个闪存块中的1267个闪存块投入使用,剩余的85个闪存块留作备份,当1267个闪存块闪存出现问题时,使用备用的85个闪存块进行补充,在选择样本闪存芯片时,可以选择同一制造工艺、不同批次生成的M型号样本闪存芯片中1267个闪存块进行数据采集,样本闪存块的编号应当覆盖闪存编号列表中的前中后区域。
进一步地,具体在进行数据采集的过程中,首先将选择的样本闪存芯片与闪存测试系统进行连接,并设置型号闪存的规格、测试信息以及测试图样,之后分别对样本闪存芯片中的闪存块进行块擦除操作和页编程操作,并将测试图样写入样本闪存块中,接着更新编程和擦除操作对应的周期数值,若该周期数以参数Tpe表示,周期数值更新表达式为:Tpe=Tpe+1,进一步地,若Tpe值不是50的倍数,则继续对样本闪存块进行块擦除操作和页编程操作;若更新的Tpe值为50的倍数,则对样本闪存块进行页读取操作,需要说明的是,当更新的Tpe值为其他数值的倍数时,也可以对样本闪存块进行页读取操作,本发明实施例不做具体限定,之后将读取到的页数据与对应页的编程操作写入的测试图样进行数据对比,获取并记录相应的闪存块错误信息,进一步地,判断闪存错误信息中页原始错误比特率是否超过纠错算法的上限值,如果超过纠错算法的上限值,则停止测试,并返回样本闪存块测试终止标注;如果没有超过纠错算法的上限值,则继续对样本闪存块进行块擦除操作和页编程操作。
对于本发明实施例,在上述样本闪存芯片测试的过程中采集的样本属性特征包括:样本闪存块对应的编号、编程和擦除操作的次数、闪存操作时间、工作电流、功耗、电压分布、页原始错误比特数、页原始错误比特率、闪存条件错误页数、条件错误块数中的至少一种样本属性特征,进一步地,为了利用样本属性特征构建预设可靠性预测模型,需要对样本数据特征进行运算,该运算方法至少包括以下一种:样本属性特征的线性和非线性运算、不同样本属性特征间的线性和非线性运算、计算不同存储页面样本属性特征的最大值和最小值、不同存储页面样本属性特征之间的线性和非线性运算、不同存储块样本属性特征之间的线性和非线性运算、不同存储块样本属性特征的最大值和最小值,例如,采集样本闪存块对应的样本属性特征包括:样本闪存块的页原始错误比特数,样本闪存块当前已经历的编程和擦除操作的次数,根据上述样本属性特征计算样本闪存芯片对应的页原始错误比特数的平均值、平方平均值和中位数,进一步地,将页原始错误比特数的均值值、页原始错误比特数平方的平均值,页原始错误比特数的中位数和样本闪存块当前已经历的编程和擦除操作的次数作为训练集,对该训练集进行训练,构建预设可靠性预测模型,该预设可靠性预测模型可以为但不局限于支持向量机可靠预测模型、朴素贝叶斯可靠性预测模型、K近邻可靠性预测模型、决策树可靠性预测模型和神经网络可靠性预测模型。
当预设可靠性预测模型为预设决策树可靠性预测模型时,具体训练时,首先从节点集合中选取适当的分裂节点,并对该分裂节点的取值范围进行区域划分,之后根据划分的区域类别,计算该中规划方式下的回归方差,若回归方差较大,大于或者等于预设阈值,则重新选取分类节点进行区域划分;若回归方差小于预设阈值,则停止训练,确定预设决策树可靠性预测模型。
进一步地,将构建的预设可靠性预测模型集成到SSD之中,同时为其配备记录数据和进行预测所必须的软硬件,具体地,可以将预设可靠性预测模型集成到主控制芯片中,由主控制芯片来运行该模型,可以使用专用的机器学习芯片来运行该模型,具体情况可以根据本发明实施例中主控制芯片的功耗、性能和机器学习算法而定,本发明实施例不做具体限定。当构建的可靠性模型集成到SSD的主控制芯片中,主控制芯片能够采集每一个待检测闪存块的属性特征,并根据采集的属性特征利用预设可靠性预测模型对待检测闪存块进行可靠性预测,当待检测闪存芯片为M型闪存芯片时,主控制芯片能够采集并记录1267个待检测闪存块的属性特征,进而对1267个待检测闪存块的可靠性等级进行预测。进一步地,为了记录每个待检测闪存块对应的可靠性预测结果,可以开辟一块独立的内存空间用于表示预测结果,共设置1267位,每一位表示一个待检测闪存块对应的可靠性预测结果,默认状态下标志位为0,当确定待检测闪存块存在异常时,设置待检测闪存块对应的标志为1。
需要说明的是,考虑到预设可靠性预测模型进行预测可能需要一定时间,为防止SSD突然掉电,要求SSD主控制芯片具有良好的断电保持能力,或者使用独立电源,具体地,可以在SSD内置一块小型锂离子电池,当用户正常使用SSD时进行充电,如果预测模型运行时突然掉电,通过锂离子电池也能够顺序完成可靠性预测。
202、每经历第一预设次数的编程和擦除操作,将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果。
其中,所述属性特征包括所述待检测闪存块对应的编号、编程和擦除操作的次数、闪存操作时间、工作电流、电压分布和页原始错误比特数中的至少一种属性特征,此外,第一预设次数是在预测开始之前进行设置的,不同的测试过程中第一预设次数未必相同,该第一预设次数与以下因素有关:芯片型号,可靠性预测模型的种类,可靠性预测模型的准确度,可靠性预测模型能够预测多少次编程-擦除操作之后的可靠性等级,对功耗、计算占用资源、可靠性预测准确度的要求。
对于本发明实施例,为了对待检测闪存块进行低频预测,步骤202具体包括:对所述至少一种属性特征进行线性运算或者非线性运算,得到运算后的属性特征;每经历第一预设次数的编程和擦除操作,将所述运算后的属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果。
例如,每经历200次编程和擦除操作,采集待检测闪存块对应的页原始错误比特数,当前已经历的编程和擦除操作的次数,根据上述属性特征计算待检测闪存块对应的页原始错误比特数的平均值、平方平均值和中位数,之后将页原始错误比特数的平均值、平方平均值和中位数,以及当前已经历的编程和擦除操作的次数输入至预设可靠性预测模型进行低频预测,得到待检测闪存块对应的低频预测结果,在低频可靠性等级预测的过程中,如果出现一次低频预测结果不满足预设可靠性等级要求,则对待检测闪存块进行高频可靠性检测;如果低频检测结果满足预设可靠性等级要求,则继续对待检测闪存块进行低频可靠性检测。需要说的是,在低频预测的过程中,为了避免低频预测过程中占用大量资源,主控制芯片应注意调整待检测闪存块之间的预测顺序,尽量避免同时对多个待检测闪存块进行预测。
203、若所述低频预测结果不满足预设可靠性等级要求,则每经历第二预设次数的编程和擦除操作,将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果。
其中,所述第二预设次数小于所述第一预设次数,此外,第二预设次数是在预测开始之前设置的,也可以随着测试的进行不断地修改,不同测试过程中第二预设次数未必相同,该第二预设次数与以下因素有关:芯片型号,可靠性预测模型的种类,可靠性预测模型的准确度,可靠性预测模型能够预测多少次编程-擦除操作之后的可靠性等级,对功耗、计算占用资源、可靠性预测准确度的要求。
对于本发明实施例,在低频预测结果不满足预设可靠性等级要求的情况下,为了确保预测结果的准确性,还需要对其进行高频检测,基于此,步骤203具体包括:每经历第一预设次数的编程和擦除操作,将所述运算后的属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果。
例如,每经历50次编程和擦除操作,采集待检测闪存块对应的页原始错误比特数,当前已经历的编程和擦除操作的次数,根据上述属性特征计算待检测闪存块对应的页原始错误比特数的平均值、平方平均值和中位数,之后将页原始错误比特数的平均值、平方平均值和中位数,以及当前已经历的编程和擦除操作的次数输入至预设可靠性预测模型进行高频预测,得到待检测闪存块对应的高频预测结果,在高频可靠性等级预测的过程中,如果高频预测结果不满足预设等级要求的次数达到一定次数,则确定待检测闪存存在异常。需要说的是,在高频预测的过程中,为了避免高频预测过程中占用大量资源,主控制芯片应注意调整待检测闪存块之间的预测顺序,尽量避免同时对多个待检测闪存块进行预测。
在具体应用场景中,当高频预测结果出现一次不满足预设可靠性等级要求的情况,则说明待检测闪存块很有可能存在异常,需要提高频率对其进行预测,基于此,步骤203具体包括:若所述高频检测结果不满足预设可靠性等级要求,则每经历第三预设次数的编程和擦除操作,将所述属性特征输入至预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频检测结果,其中,所第三预设次数小于所述第二预设次数。
例如,高频预测时,每经历50次编程和擦除操作,对待检测闪存块进行高频预测,当高频预测结果出现一次不满足预设可靠性等级要求的情况,则每经历20次编程和擦除操作,对待检测闪存块进行高频预测,以便提高可靠性等级的预测效率。
204、根据所述高频预测结果,判定所述待检测闪存块是否存在异常。
对于本发明实施例,步骤204具体包括:根据所述高频预测结果,设置所述待检测闪存块对应的标志位;每隔预设时间间隔对所述待检测闪存块对应的标志位进行检测;若所述待检测闪存块对应的标志位为1,则确定所述待检测闪存块存在异常,对所述待检测闪存块的存储数据进行备份处理,并停止所述待检测闪存块的读写操作。进一步地,所述根据所述高频预测结果,设置所述待检测闪存块对应的标志位,包括:累计高频预测结果不满足预设可靠性等级要求的预测次数;若所述预测次数大于或者等于第四预设次数,则将所述待检测闪存块对应的标志位设置为1。其中,第四预设次数与预测模型的准确率有关,预测模型的准确率越高,第四预设次数被设置的越低;预测模型的准确率越低,第四预设次数被设置的越高。
例如,当高频预测结果不满预设可靠性等级要求的预测次数累计到3次时,将待检测闪存块对应的标志为设置为1,正常默认值为0,可以使用总线传输、电压拉偏等方式实现,主控制芯片会实施监测内存中各闪存块对应的标志位,当主控制芯片发现某一位被置为1之后,代表这一位对应的闪存块不满足预设可靠性等级要求,即存在异常,主控制芯片此时会从预留的闪存块中寻找一个空闲闪存块进行数据备份,同时更新映射表,避免可靠性等级不达标的闪存块再次被使用,如果主控制芯片没有找到空闲闪存块,说明所有的闪存块均被占用或已经成为坏块,此时会向用户发出报警信息,提示用户该SSD的闪存芯片已接近寿命极限,请用户手动进行数据备份。由于发出报警时,闪存块还没有超出可靠性等级要求,主控制芯片可以将闪存块中的数据读出之后存放到另外的地方,而不必担心数据可靠性的问题,同时主控芯片也可以选择将闪存块完全弃置不用,避免出现数据错误。
本发明实施例提供的另一种闪存的异常检测方法,与目前对闪存的编程-擦除操作的次数进行限制的方式相比,本方明能够获取闪存芯片中待检测闪存块对应的属性特征;并将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果;若所述低频预测结果不满足预设可靠性等级要求,则将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果;最终根据所述高频预测结果,判定所述待检测闪存块是否存在异常,由此通过对待检测闪存块进行低频可靠性预测和高频可靠性预测,能够确定待检测闪存块对应的可靠性预测结果,进而根据该可靠性预测结果能够判定待检测闪存块是否存在异常,在闪存块存在异常的情况下,能够及时对存储数据进行备份,并停止相应的读写操作,从而能够避免发生数据存储错误,同时能够延长闪存块的使用寿命,此外,本发明采用高频可靠性预测和低频可靠性预测相结合,在提高预测结果准确度的同时,能够减少功耗和主控芯片的计算资源占用,进而能够减少对计算机存储设备的影响。
进一步地,作为图1的具体实现,本发明实施例提供了一种闪存的异常检测装置,如图3所示,所述装置包括:获取单元31、第一预测单元32、第二预测单元33和判定单元34。
所述获取单元31,可以用于获取闪存芯片中待检测闪存块对应的属性特征。
所述第一预测单元32,可以用于将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果。
所述第二预测单元33,可以用于若所述低频预测结果不满足预设可靠性等级要求,则将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果。
所述判定元34,可以用于根据所述高频预测结果,判定所述待检测闪存块是否存在异常。
对于本发明实施例,如图4所示,为了对待检测闪存块分别进行低频预测和高频预测。
所述第一预测单元32,具体可以用于每经历第一预设次数的编程和擦除操作,将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果。
所述第二预测单元33,具体可以用于每经历第二预设次数的编程和擦除操作,将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果,其中,所述第二预设次数小于所述第一预设次数。
在具体应用场景中,所述属性特征包括所述待检测闪存块对应的编号、编程和擦除操作的次数、闪存操作时间、工作电流、电压分布和页原始错误比特数中的至少一种属性特征,第一预测单元32,包括:运算模块321和预测模块322。
所述运算模块321,可以用于对所述至少一种属性特征进行线性运算或者非线性运算,得到运算后的属性特征。
所述预测模块322,可以用于每经历第一预设次数的编程和擦除操作,将所述运算后的属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果。
所述第二预测单元33,具体还可以用于每经历第一预设次数的编程和擦除操作,将所述运算后的属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果。
进一步地,当高频预测结果出现一次不满足预设可靠性等级要求时,提高预测频率,所述第二预测单元33,具体还可以用于若所述高频检测结果不满足预设可靠性等级要求,则每经历第三预设次数的编程和擦除操作,将所述属性特征输入至预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频检测结果,其中,所第三预设次数小于所述第二预设次数。
进一步地,为了判定待检测闪存块是否存在异常,所述判定单元34,包括:设置模块341、检测模块342和备份模块343。
所述设置模块341,可以用于根据所述高频预测结果,设置所述待检测闪存块对应的标志位。
所述检测模块342,可以用于每隔预设时间间隔对所述待检测闪存块对应的标志位进行检测。
所述备份模块343,可以用于若所述待检测闪存块对应的标志位为1,则确定所述待检测闪存块存在异常,对所述待检测闪存块的存储数据进行备份处理,并停止所述待检测闪存块的读写操作。
进一步地,为了设置待检测闪存块对应的标志位,所述设置模块341,包括:累计子模块和设置子模块。
所述累计子模块,可以用于累计高频预测结果不满足预设可靠性等级要求的预测次数。
所述设置子模块,可以用于若所述预测次数大于或者等于第四预设次数,则将所述待检测闪存块对应的标志位设置为1。
进一步地,为了构建预设可靠性预测模型,所述装置还包括:运算单元35和构建单元36。
所述运算单元35,可以用于对所述样本属性特征进行线性运算或者非线性运算,得到运算后的样本属性特征。
所述构建单元36,可以用于将所述运算后的样本属性特征作为训练集,利用预设神经网络算法对所述训练集进行训练,构建预设可靠性预测模型。
需要说明的是,本发明实施例提供的一种闪存的异常检测装置所涉及各功能模块的其他相应描述,可以参考图1所示方法的对应描述,在此不再赘述。
基于上述如图1所示方法,相应的,本发明实施例还提供了一种计算机可读存储介质,其上存储有计算机程序,该程序被处理器执行时实现以下步骤:获取闪存芯片中待检测闪存块对应的属性特征;将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果;若所述低频预测结果不满足预设可靠性等级要求,则将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果;根据所述高频预测结果,判定所述待检测闪存块是否存在异常。
基于上述如图1所示方法和如图3所示装置的实施例,本发明实施例还提供了一种计算机设备的实体结构图,如图5所示,该计算机设备包括:处理器41、存储器42、及存储在存储器42上并可在处理器上运行的计算机程序,其中存储器42和处理器41均设置在总线43上所述处理器41执行所述程序时实现以下步骤:获取闪存芯片中待检测闪存块对应的属性特征;将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果;若所述低频预测结果不满足预设可靠性等级要求,则将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果;根据所述高频预测结果,判定所述待检测闪存块是否存在异常。
通过本发明的技术方案,本方明能够获取闪存芯片中待检测闪存块对应的属性特征;并将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果;若所述低频预测结果不满足预设可靠性等级要求,则将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果;最终根据所述高频预测结果,判定所述待检测闪存块是否存在异常,由此通过对待检测闪存块进行低频可靠性预测和高频可靠性预测,能够确定待检测闪存块对应的可靠性预测结果,进而根据该可靠性预测结果能够判定待检测闪存块是否存在异常,在闪存块存在异常的情况下,能够及时对存储数据进行备份,并停止相应的读写操作,从而能够避免发生数据存储错误,同时能够延长闪存块的使用寿命,此外,本发明采用高频可靠性预测和低频可靠性预测相结合,在提高预测结果准确度的同时,能够减少功耗和主控芯片的计算资源占用,进而能够减少对计算机存储设备的影响。
显然,本领域的技术人员应该明白,上述的本发明的各模块或各步骤可以用通用的计算装置来实现,它们可以集中在单个的计算装置上,或者分布在多个计算装置所组成的网络上,可选地,它们可以用计算装置可执行的程序代码来实现,从而,可以将它们存储在存储装置中由计算装置来执行,并且在某些情况下,可以以不同于此处的顺序执行所示出或描述的步骤,或者将它们分别制作成各个集成电路模块,或者将它们中的多个模块或步骤制作成单个集成电路模块来实现。这样,本发明不限制于任何特定的硬件和软件结合。
以上所述仅为本发明的优选实施例而已,并不用于限制本发明,对于本领域的技术人员来说,本发明可以有各种更改和变化。凡在本发明的精神和原则之内,所作的任何修改、等同替换、改进等,均应包括在本发明的保护范围之内。

Claims (9)

1.一种闪存的异常检测方法,其特征在于,包括:
获取闪存芯片中待检测闪存块对应的属性特征;
将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果,包括:
每经历第一预设次数的编程和擦除操作,将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果;
若所述低频预测结果不满足预设可靠性等级要求,则将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果,包括:
每经历第二预设次数的编程和擦除操作,将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果,其中,所述第二预设次数小于所述第一预设次数;
若所述高频预测结果不满足预设可靠性等级要求,则继续对所述待检测闪存块进行高频可靠性预测,并累计所述高频预测结果不满足预设可靠性等级要求的次数;
根据所述高频预测结果,判定所述待检测闪存块是否存在异常,包括:根据所述高频预测结果不满足预设可靠性等级要求的累计次数,判定所述待检测闪存块是否存在异常。
2.根据权利要求1所述的方法,其特征在于,所述属性特征包括所述待检测闪存块对应的编号、编程和擦除操作的次数、闪存操作时间、工作电流、电压分布和页原始错误比特数中的至少一种属性特征,所述每经历第一预设次数的编程和擦除操作,将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果,包括:
对所述至少一种属性特征进行线性运算或者非线性运算,得到运算后的属性特征;
每经历第一预设次数的编程和擦除操作,将所述运算后的属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果;
所述每经历第二预设次数的编程和擦除操作,将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果包括:
每经历第一预设次数的编程和擦除操作,将所述运算后的属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果。
3.根据权利要求1所述的方法,其特征在于,所述每经历第二预设次数的编程和擦除操作,将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果,包括:
若所述高频预测结果不满足预设可靠性等级要求,则每经历第三预设次数的编程和擦除操作,将所述属性特征输入至预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预 测结果,其中,所第三预设次数小于所述第二预设次数。
4.根据权利要求1所述的方法,其特征在于,所述根据所述高频预测结果,判定所述待检测闪存块是否存在异常,包括:
根据所述高频预测结果,设置所述待检测闪存块对应的标志位;
每隔预设时间间隔对所述待检测闪存块对应的标志位进行检测;
若所述待检测闪存块对应的标志位为1,则确定所述待检测闪存块存在异常,对所述待检测闪存块的存储数据进行备份处理,并停止所述待检测闪存块的读写操作。
5.根据权利要求4所述的方法,其特征在于,所述根据所述高频预测结果,设置所述待检测闪存块对应的标志位,包括:
累计高频预测结果不满足预设可靠性等级要求的预测次数;
若所述预测次数大于或者等于第四预设次数,则将所述待检测闪存块对应的标志位设置为1。
6.根据权利要求1所述的方法,其特征在于,在所述获取闪存芯片中待检测闪存块对应的属性特征之前,所述方法还包括:
获取与所述闪存芯片相同工艺和型号,且不同批次的样本闪存芯片中闪存块对应的样本属性特征;
对所述样本属性特征进行线性运算或者非线性运算,得到运算后的样本属性特征;
将所述运算后的样本属性特征作为训练集,利用预设神经网络算法对所述训练集进行训练,构建预设可靠性预测模型。
7.一种闪存的异常检测装置,其特征在于,包括:
获取单元,用于获取闪存芯片中待检测闪存块对应的属性特征;
第一预测单元,用于将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果,包括:
每经历第一预设次数的编程和擦除操作,将所述属性特征输入至预设可靠性预测模型进行低频可靠性预测,得到所述待检测闪存块对应的低频预测结果;
第二预测单元,用于若所述低频预测结果不满足预设可靠性等级要求,则将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果,包括:
每经历第二预设次数的编程和擦除操作,将所述属性特征输入至所述预设可靠性等级模型进行高频可靠性预测,得到所述待检测闪存块对应的高频预测结果,其中,所述第二预设次数小于所述第一预设次数;
若所述高频预测结果不满足预设可靠性等级要求,则继续对所述待检测闪存块进行高频可靠性预测,并累计所述高频预测结果不满足预设可靠性等级要求的次数;
判定单元,用于根据所述高频预测结果,判定所述待检测闪存块是否存在异常,包括:根据所述高频预测结果不满足预设可靠性等级要求的累计次数,判定所述待检测闪存块是否存在异常。
8.一种计算机设备,包括存储器、处理器及存储在存储器上并可在处理器上运行的计算机程序,其特征在于,所述计算机程序被处理器执行时实现权利要求1至6中任一项所述的方法的步骤。
9.一种计算机可读存储介质,其上存储有计算机程序,其特征在于,所述计算机程序被处理器执行时实现权利要求1至6中任一项所述的方法的步骤。
CN202110159245.9A 2021-02-05 2021-02-05 闪存的异常检测方法、装置、计算机设备及存储介质 Active CN112908399B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN202110159245.9A CN112908399B (zh) 2021-02-05 2021-02-05 闪存的异常检测方法、装置、计算机设备及存储介质
PCT/CN2021/083537 WO2022165955A1 (zh) 2021-02-05 2021-03-29 闪存的异常检测方法、装置、计算机设备及存储介质

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110159245.9A CN112908399B (zh) 2021-02-05 2021-02-05 闪存的异常检测方法、装置、计算机设备及存储介质

Publications (2)

Publication Number Publication Date
CN112908399A CN112908399A (zh) 2021-06-04
CN112908399B true CN112908399B (zh) 2022-01-18

Family

ID=76122636

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110159245.9A Active CN112908399B (zh) 2021-02-05 2021-02-05 闪存的异常检测方法、装置、计算机设备及存储介质

Country Status (2)

Country Link
CN (1) CN112908399B (zh)
WO (1) WO2022165955A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115629715B (zh) * 2022-12-06 2023-05-05 江苏华存电子科技有限公司 一种提高闪存中块类型判断准确率的方法及系统
CN116110490A (zh) * 2023-01-06 2023-05-12 深圳市章江科技有限公司 一种使用神经网络模型的dram存储器测试方法和系统
CN116543822B (zh) * 2023-05-15 2024-04-05 深圳市领德创科技有限公司 一种应用于固态硬盘的闪存测试及处理方法和系统

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108831517A (zh) * 2018-05-23 2018-11-16 武汉忆数存储技术有限公司 一种基于操作时间或电流判断闪存芯片可靠性的方法及测试装置
CN112309480A (zh) * 2020-10-12 2021-02-02 华中科技大学 一种闪存存储装置的失效预警装置及失效预警方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9684463B1 (en) * 2016-01-14 2017-06-20 Lenovo Enterprise Solutions (Singapore) Pte. Ltd. Predictive block allocation in a flash device
CN109215725B (zh) * 2017-07-03 2021-10-15 无锡华润上华科技有限公司 闪存器件可靠性测试方法、存储介质及电子设备
CN107391290A (zh) * 2017-07-21 2017-11-24 重庆大学 一种闪存制程差异的检测方法
CN107967928B (zh) * 2017-10-18 2020-06-26 武汉忆数存储技术有限公司 一种基于数学模型的闪存芯片寿命预测方法
US10956048B2 (en) * 2017-11-21 2021-03-23 Distech Controls Inc. Computing device and method for inferring a predicted number of physical blocks erased from a flash memory
CN108847267B (zh) * 2018-05-23 2022-04-08 置富科技(深圳)股份有限公司 一种基于错误模式的闪存寿命测试方法
KR102592902B1 (ko) * 2018-09-05 2023-10-24 에스케이하이닉스 주식회사 메모리 시스템 및 이의 동작 방법
CN109634527B (zh) * 2018-12-12 2020-06-09 华中科技大学 一种ssd内实现的闪存寿命预测方法
CN109830254A (zh) * 2018-12-17 2019-05-31 武汉忆数存储技术有限公司 一种闪存寿命预测方法、系统、存储介质

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108831517A (zh) * 2018-05-23 2018-11-16 武汉忆数存储技术有限公司 一种基于操作时间或电流判断闪存芯片可靠性的方法及测试装置
CN112309480A (zh) * 2020-10-12 2021-02-02 华中科技大学 一种闪存存储装置的失效预警装置及失效预警方法

Also Published As

Publication number Publication date
WO2022165955A1 (zh) 2022-08-11
CN112908399A (zh) 2021-06-04

Similar Documents

Publication Publication Date Title
CN112908399B (zh) 闪存的异常检测方法、装置、计算机设备及存储介质
CN109634527B (zh) 一种ssd内实现的闪存寿命预测方法
JP7158586B2 (ja) ハードディスク故障発生時期の予測方法、装置及び記憶媒体
US10147048B2 (en) Storage device lifetime monitoring system and storage device lifetime monitoring method thereof
US11398291B2 (en) Method and apparatus for determining when actual wear of a flash memory device differs from reliability states for the flash memory device
US11734103B2 (en) Behavior-driven die management on solid-state drives
US8555111B2 (en) Method and apparatus for offline diagnosis based on prioriyu level setting
CN113257332B (zh) 一种闪存的有效性预测方法、装置及存储介质
US10847245B2 (en) Failure indicator predictor (FIP)
CN110837477B (zh) 一种基于寿命预测的存储系统损耗均衡方法及装置
CN109884533B (zh) 电池故障的诊断方法及装置、设备及存储介质
CN113703681B (zh) 一种硬盘管理方法及装置、硬盘设备、存储介质
CN113703670A (zh) 一种磨损均衡控制方法、装置、设备及可读存储介质
CN112650446A (zh) NVMe全闪存存储系统的智能存储方法、装置及设备
CN116775436A (zh) 芯片故障预测方法、装置、计算机设备和存储介质
US10614903B2 (en) Testing non-volatile memories
CN112817525A (zh) 闪存芯片可靠性等级预测方法、装置及存储介质
JP7273669B2 (ja) ストレージシステム及びその制御方法
CN114693043A (zh) 车用电池的健康状况评估方法、系统、电子设备和介质
KR20210031220A (ko) 스토리지 장치 및 스토리지 장치의 동작 방법
CN116719480B (zh) 一种基于数据孪生的电能表数据存储方法、装置及介质
CN114974387B (zh) 基于固态硬盘主控芯片的闪存测试方法、装置及固态硬盘
CN112527199B (zh) 一种提高闪存介质寿命的方法、装置和电子设备
CN117270772A (zh) 存储数据的方法、装置、电子设备、存储介质和储能系统
JP2023135045A (ja) 電池劣化対応システム、電池劣化対応装置および携帯端末

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant