CN108831517A - 一种基于操作时间或电流判断闪存芯片可靠性的方法及测试装置 - Google Patents

一种基于操作时间或电流判断闪存芯片可靠性的方法及测试装置 Download PDF

Info

Publication number
CN108831517A
CN108831517A CN201810502719.3A CN201810502719A CN108831517A CN 108831517 A CN108831517 A CN 108831517A CN 201810502719 A CN201810502719 A CN 201810502719A CN 108831517 A CN108831517 A CN 108831517A
Authority
CN
China
Prior art keywords
data
flash chip
flash
operating time
electric current
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810502719.3A
Other languages
English (en)
Other versions
CN108831517B (zh
Inventor
潘玉茜
李四林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wuhan Zhifu Semiconductor Technology Co.,Ltd.
Original Assignee
Wuhan Memory Storage Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Wuhan Memory Storage Technology Co Ltd filed Critical Wuhan Memory Storage Technology Co Ltd
Priority to CN201810502719.3A priority Critical patent/CN108831517B/zh
Publication of CN108831517A publication Critical patent/CN108831517A/zh
Application granted granted Critical
Publication of CN108831517B publication Critical patent/CN108831517B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/56External testing equipment for static stores, e.g. automatic test equipment [ATE]; Interfaces therefor

Landscapes

  • For Increasing The Reliability Of Semiconductor Memories (AREA)
  • Techniques For Improving Reliability Of Storages (AREA)

Abstract

本发明属于闪存芯片可靠性测试技术,尤其是涉及一种通过操作时间或电流判断闪存芯片可靠性的方法及测试装置。本发明首先通过测试装置采集样本闪存芯片的操作时间或电流,然后对数据进行分析处理,建立数据与闪存芯片可靠性的对应关系,再由测试装置收集待测闪存芯片的操作时间和电流,最后结合可靠性的对应关系判断待测芯片的可靠性。本发明中提出的判断闪存芯片可靠性方法与一般方法相比,不易受到闪存中存储数据取值的干扰,同时克服了一般方法无法有效防止闪存突然失效而造成数据损失的缺点。

Description

一种基于操作时间或电流判断闪存芯片可靠性的方法及测试 装置
技术领域
本发明涉及闪存芯片可靠性测试领域,具体涉及一种基于操作时间或电流判断闪存芯片可靠性的方法及测试装置。
背景技术
随着电子技术的飞速发展,存储器作为存储数据的载体越来越多地应用与电子系统当中。存储器产品中,闪存芯片作为一种非易失性存储器,具有存储容量高、制造成本低等优点,是近年来发展最快的存储器产品,并在非易失性存储器市场中逐渐占据的地位。
闪存芯片由于其自身的结构特性,存储单元的介质会随着操作次数的增加而产生缺陷,在使用过程中这些缺陷会不断累加并最终导致存储单元失效,从而影响整个存储系统的正常使用。
在闪存需求量逐年增长的同时,其可靠性问题也日趋严重。随着集成度及容量的提高,闪存器件寿命相应降低,可靠性问题也逐渐增大。提高大容量存储设备的可靠性成为当前存储技术发展的首要问题。
目前,在实际设计存储系统时多采用纠错码、损耗均衡等方法确保闪存芯片的可靠性,这些方法均在闪存芯片错误率的基础上对闪存芯片的可靠性状态进行判断。基于错误率的方法,容易受到存储数据取值的影响且必须在读取数据后通过错误率来判断,这种方法无法防止闪存突然失效而造成的数据损失。因此,需要提出一种新的闪存芯片可靠性判断方法来弥补现有方法中的缺陷。
发明内容
本发明针对现有技术中存在的技术问题,提供一种基于操作时间或电流判断闪存芯片可靠性的方法及测试装置。
本发明解决上述技术问题的技术方案如下:
一方面,本发明提供一种基于操作时间或电流判断闪存芯片可靠性的方法,该方法包括:
步骤1,从闪存产品集合中选取闪存芯片作为样本,将样本闪存芯片与闪存测试装置连接开始测试收集建立闪存芯片可靠性对应关系所需的数据,所述建立闪存芯片可靠性对应关系所需的数据为测试过程中采集到的闪存芯片操作时间或电流,或操作时间和电流的组合;
步骤2,将测试得到的数据进行处理分析,统计测试数据的分布,计算测试得到的数据与初始值之间的差值,建立数据处理结果与闪存芯片可靠性之间的对应关系;所述初始值是指:在闪存芯片开始使用之前,采用步骤1所述的测试方法获取的闪存芯片在执行写入、读取、擦除操作时的操作时间和电流值。
步骤3,测试收集待测闪存芯片的操作时间、电流,结合步骤2建立的对应关系确定当前状态下待测闪存芯片的可靠性。
进一步,所述步骤1中,样本闪存为同一制造工艺下相同类型的闪存芯片;从不同批次的芯片中随机抽取相同数量的芯片样本,以确保样本的多样性;其中,抽样的批次为随机选取,样本数量为被抽样批次闪存芯片总量的百分之一。
进一步,所述步骤1包括以下子步骤:
步骤101,从闪存芯片集合中随机抽取样本芯片,将样本闪存芯片与测试装置连接;
步骤102,从每个样本闪存芯片中随机选择存储块,通过测试装置向闪存存储块发送测试数据集合,对闪存存储块执行写入数据操作,同时测试装置采集编程操作时间及编程操作电流;
步骤103,发送完测试数据集合之后,保持闪存存储块中存储的数据一段时间,保存时间长短根据闪存芯片的类型确定;对闪存芯片执行读数据操作,测试装置采集读取操作时间及读取操作电流;
步骤104,读数据操作完成后,对闪存芯片执行数据擦除操作,测试装置采集擦除操作时间及擦除操作电流;
步骤105,测试装置保存采集到的数据。
进一步,所述测试数据集合为能够模拟目标闪存芯片数据流的测试数据,测试数据的组成根据具体测试的闪存芯片确定。
进一步,所述闪存芯片可靠性通过以下参数表示:
闪存芯片在完全失效或错误率超过纠错码上限之前能够执行的编程/擦除周期数;
闪存芯片当前状态下的错误率和最大数据保持时间。
进一步,所述步骤2中,将测试得到的数据进行处理分析,包括以下子步骤:
步骤201,读取闪存芯片的初始值以及步骤1中采集的测试数据;
步骤202,记录测试数据的最大值及最小值,并计算测试数据的平均值,统计测试数据在不同取值范围的分布;
步骤203,记录闪存芯片初始值的最大值及最小值,并计算初始值数据的平均值,统计初始值数据在不同取值范围的分布;
步骤204,计算测试数据与初始值之间的差值,记录差值的最大值及最小值,并计算差值的平均值;
步骤205,以测试数据处理结果、初始值处理结果及差值处理结果为基础,建立数据处理结果与闪存芯片可靠性之间的对应关系。
进一步,所述取值范围的划分方法为:由闪存芯片数据手册确定的最小值到最大值的取值范围平均分为n段取值范围,n的值根据需求更改。
进一步,所述数据处理结果与闪存芯片可靠性之间的对应关系为:以初始值到测试数据的变化方向为可靠性降低的数据方向,建立数据处理结果与闪存芯片可靠性之间的对应关系。
另一方面,本发明提供一种基于操作时间或电流判断闪存芯片可靠性的测试装置,包括处理器、闪存控制器、操作时间采集模块、电流采集模块、AD转换模块、数据存储模块;
所述处理器,用于向各个模块发送命令,控制模块执行数据采集操作;同时,统计闪存芯片所经历的编程/擦除周期次数,将统计结果发送给数据存储模块;
所述闪存控制器,用于对闪存芯片执行编程、读取和擦除操作并将操作时间采集模块所需的数据信息传递给操作时间采集模块;
所述操作时间采集模块,用于读取闪存控制器传递来的时钟信号信息并转换为操作时间数据发送给数据存储模块;
所述电流采集模块,用于电流采样,将采样的模拟信号传输给AD转换模块;
所述AD转换模块,用于将模拟信号转换成数据信号发送给数据存储模块;
所述数据存储模块,用于存储采集的数据。
所述处理器为ARM处理器,闪存控制器及操作时间采集模块通过FPGA实现,电流采集模块通过MAX44284电流检测放大器实现,AD转换模块通过ADC芯片实现,数据存储模块通过dram存储器实现。。
本发明的有益效果是:1.本发明中提出的基于操作时间或电流判断闪存芯片可靠性的方法与一般方法相比,不受到存储数据取值的影响,判断结果更加准确。2.本发明中测试装置采用模拟闪存芯片实际操作数据流的测试数据,其测试结果更符合实际闪存芯片的使用状态。3.本发明以操作时间、电流作为判断依据,在存储数据前通过测试数据的操作时间、电流来判断,与存储介质中出现错误后再进行判断的方法相比能够有效防止闪存突然失效造成的数据损失。
附图说明
图1为本发明实施例一种基于操作时间或电流判断闪存芯片可靠性方法的流程示意图。
图2为本发明实施例一种测试装置的结构图。
图3为本发明实施例中测试装置采集并保存数据的流程示意图。
图4为本发明实施例中数据处理分析的的流程示意图。
图5为本发明实施例中样本数据绘制的曲线图。
图6为本发明实施例中测试装置采集待测闪存芯片数据的流程示意图。
具体实施方式
以下结合附图对本发明的原理和特征进行描述,所举实例只用于解释本发明,并非用于限定本发明的范围。
图1为本发明实现基于操作时间、电流判断闪存芯片可靠性的流程示意图,图中所示判断流程适用于所有闪存芯片类型,下面以一种的闪存芯片产品为实施例对图1进行详细的解释说明。
本实施例中,将某制造工艺下的多极单元NAND闪存(MLC NAND flash)产品作为判断闪存芯片可靠性的对象。如图1所示,该方法包括:
步骤S01,从所述闪存样本按照以下规则抽取:样本闪存必须为同一制造工艺下相同类型的闪存芯片,从不同批次的芯片中随机抽取相同数量的芯片样本,以确保样本的多样性。其中,抽样的批次为随机选取,样本数量可以为被抽样批次闪存芯片总量的百分之一。
步骤S02中,测试装置的结构如图2所示,本实施例中,测试装置的处理器为ARM处理器,闪存控制器及操作时间采集模块通过FPGA实现,电流采集模块通过MAX44284电流检测放大器实现,AD转换模块通过ADC芯片实现,数据存储模块通过dram存储器实现。
装置中各个模块的功能为:
处理器:测试装置中处理器向各个模块发送命令,控制模块执行数据采集操作;同时,统计闪存芯片所经历的编程/擦除周期次数,将统计结果发送给数据存储模块。
闪存控制器:闪存控制器负责对闪存芯片执行编程、读取和擦除操作并将操作时间采集模块所需的数据信息传递给操作时间采集模块。
操作时间采集模块:操作时间采集模块负责读取闪存控制器传递来的时钟信号信息并转换为操作时间数据发送给数据存储模块。
电流采集模块:电流采集模块负责采样电流,将采样的模拟信号传输给AD转换模块。
AD转换模块:AD转换模块将模拟信号转换成数据信号发送给数据存储模块。
数据存储模块:数据存储模块负责存储采集的数据。
步骤S02的样本数据收集流程如图3所示,装置采集并保存数据的具体操作为:
(1)处理器控制闪存控制器对闪存芯片写入测试数据集合。测试数据集合为能够模拟目标闪存芯片数据流的测试数据,测试数据的组成根据具体测试的闪存芯片确定。
(2)操作时间采集模块统计闪存芯片各个页面的编程时间,电流采集模块采集编程时的电流,数据存储模块保存数据。
(3)执行完数据写入操作之后,测试装置等待时间T,闪存控制器对闪存芯片执行读数据操作。T的值根据需求确定,本实施例中的T为0。
(4)装置中的操作时间采集模块统计闪存芯片各个页面的读取时间,电流采集模块采集读操作时的电流,数据存储模块保存数据。
(5)执行完数据读取操作之后,闪存控制器对目标闪存芯片执行擦除数据操作。
(6)操作时间采集模块统计闪存芯片各个页面的擦除时间,电流采集模块采集擦除数据时的电流,数据存储模块保存数据。
(7)处理器对数据存储模块中的数据进行分类标记,并统计当前状态下样本闪存芯片所经历的编程/擦除周期次数。数据的分类标记方式为:相同物理量名称的数据添加相同标记,例如属于编程时间的数据均添加标记PT(Program Time),且不同物理量名称的标记不同;同一目标芯片的数据添加相同标记,不同芯片对应的标记不同;对应相同编程/擦除周期次数的数据添加相同标记,不同编程/擦除周期次数对应的标记不同。
(8)判断闪存芯片是否失效。若此时闪存芯片失效,装置停止采集数据,否则返回操作(1)继续采集数据。
所述闪存芯片操作时间和电流的具体获取方式为:
闪存芯片的编程时间:闪存控制器对闪存芯片执行写入数据操作时,操作时间采集模块记录经过的时钟周期在收到闪存芯片返回数据编程完成标志后停止记录时钟周期数;编程时间值为时钟周期持续时间乘以编程时钟周期数。
闪存芯片读取时间及擦除时间:获取方式与编程时间获取方式同理,由操作时间采集模块记录操作持续的时钟周期数,操作时间值为时钟周期持续时间乘以操作时钟周期数。
闪存芯片的操作电流:在对闪存芯片执行操作时,由电流采集模块采集闪存芯片的电流信号,通过AD模块将电流模拟信号转换成数据信号,得到操作电流值。
步骤S03,对测试装置采集到的样本数据进行处理分析。本实施例中,采用通过擦除时间判断闪存芯片的可靠性的方法。实施例中数据处理分析的流程如图4所示,具体流程为:
(1)由数学计算软件matlab计算样本数据中各阶段擦除时间相对初始擦除时间et0的差值。
(2)绘制步骤(1)中差值随对应编程/擦除周期数变化的曲线。本实施例中样本数据绘制的曲线如图5所示。
(3)将编程/擦除周期数区间划分为不同的可靠性等级。本实施例中,以闪存芯片失效前可执行的编程/擦除周期数作为可靠性衡量标准。划分方法为:编程/擦除周期数属于[0,3000)区间时为等级A,[3000,10000)区间时为等级B,[10000,16000)区间时为等级C,[16000,17000)区间时为等级D,17000以上为等级E。
步骤S03中,数据处理分析操作通过计算机程序实现,所使用的计算机语言不限定于某一种计算机语言。本实施例中通过matlab实现数据处理分析操作。
步骤S04,在图4步骤(2)曲线的基础上,建立擦除时间与图4步骤(3)可靠性等级的对应关系。对应关系为:擦除时间变化小于2.5ms时对应等级A,小于5ms时对应等级B,小于7ms时对应等级C,小于9.5ms对应等级D,大于11ms时对应等级E。
步骤S05,测试装置采集待测闪存芯片的擦除时间值。步骤如图6所示,具体为:
(1)由测试装置向闪存芯片写入测试数据集合。
(2)测试装置等待时间T1,读取数据。其中,T1可以根据需求设置,本实施例中T1为0。
(3)测试装置执行擦除数据操作,并采集擦除操作时间。
步骤S05中待测闪存芯片与样本闪存芯片不为同一芯片。
步骤S06,将步骤S05采集的擦除时间带入步骤S04建立的可靠性关系中,判断闪存芯片的可靠性。判断方法为:若步骤S05采集的擦除时间与初始值et0之差小于3ms,则闪存芯片当前的可靠性等级为等级A。
以上所述仅为本发明的较佳实施例,并不用以限制本发明,凡在本发明的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。

Claims (10)

1.一种基于操作时间或电流判断闪存芯片可靠性的方法,其特征在于,该方法包括:
步骤1,从闪存产品集合中选取闪存芯片作为样本,将样本闪存芯片与闪存测试装置连接开始测试收集建立闪存芯片可靠性对应关系所需的数据,所述建立闪存芯片可靠性对应关系所需的数据为测试过程中采集到的闪存芯片操作时间或电流,或操作时间和电流的组合;
步骤2,将测试得到的数据进行处理分析,统计测试数据的分布,计算测试得到的数据与初始值之间的差值,建立数据处理结果与闪存芯片可靠性之间的对应关系;所述初始值是指:在闪存芯片开始使用之前,采用步骤1所述的测试方法获取的闪存芯片在执行写入、读取、擦除操作时的操作时间和电流值;
步骤3,测试收集待测闪存芯片的操作时间、电流,结合步骤2建立的对应关系确定当前状态下待测闪存芯片的可靠性。
2.根据权利要求1所述的一种基于操作时间或电流判断闪存芯片可靠性的方法,其特征在于,所述步骤1中,样本闪存为同一制造工艺下相同类型的闪存芯片;从不同批次的芯片中随机抽取相同数量的芯片样本,以确保样本的多样性;其中,抽样的批次为随机选取,样本数量为被抽样批次闪存芯片总量的百分之一。
3.根据权利要求1所述一种基于操作时间或电流判断闪存芯片可靠性的方法,其特征在于,所述步骤1包括以下子步骤:
步骤101,从闪存芯片集合中随机抽取样本芯片,将样本闪存芯片与测试装置连接;
步骤102,从每个样本闪存芯片中随机选择存储块,通过测试装置向闪存存储块发送测试数据集合,对闪存存储块执行写入数据操作,同时测试装置采集编程操作时间及编程操作电流;
步骤103,发送完测试数据集合之后,保持闪存存储块中存储的数据一段时间,保存时间长短根据闪存芯片的类型确定;对闪存芯片执行读数据操作,测试装置采集读取操作时间及读取操作电流;
步骤104,读数据操作完成后,对闪存芯片执行数据擦除操作,测试装置采集擦除操作时间及擦除操作电流;
步骤105,测试装置保存采集到的数据。
4.根据权利要求3所述一种基于操作时间或电流判断闪存芯片可靠性的方法,其特征在于,所述测试数据集合为能够模拟目标闪存芯片数据流的测试数据,测试数据的组成根据具体测试的闪存芯片确定。
5.根据权利要求1所述一种基于操作时间或电流判断闪存芯片可靠性的方法,其特征在于,所述闪存芯片可靠性通过以下参数表示:
闪存芯片在完全失效或错误率超过纠错码上限之前能够执行的编程/擦除周期数;闪存芯片当前状态下的错误率和最大数据保持时间。
6.根据权利要求1所述一种基于操作时间或电流判断闪存芯片可靠性的方法,其特征在于,所述步骤2中,将测试得到的数据进行处理分析,包括以下子步骤:
步骤201,读取闪存芯片的初始值以及步骤1中采集的测试数据;
步骤202,记录测试数据的最大值及最小值,并计算测试数据的平均值,统计测试数据在不同取值范围的分布;
步骤203,记录闪存芯片初始值的最大值及最小值,并计算初始值数据的平均值,统计初始值数据在不同取值范围的分布;
步骤204,计算测试数据与初始值之间的差值,记录差值的最大值及最小值,并计算差值的平均值;
步骤205,以测试数据处理结果、初始值处理结果及差值处理结果为基础,建立数据处理结果与闪存芯片可靠性之间的对应关系。
7.根据权利要求6所述一种基于操作时间或电流判断闪存芯片可靠性的方法,其特征在于,所述取值范围的划分方法为:由闪存芯片数据手册确定的最小值到最大值的取值范围平均分为n段取值范围,n的值根据需求更改。
8.根据权利要求6所述一种基于操作时间或电流判断闪存芯片可靠性的方法,其特征在于,所述数据处理结果与闪存芯片可靠性之间的对应关系为:以初始值到测试数据的变化方向为可靠性降低的数据方向,建立数据处理结果与闪存芯片可靠性之间的对应关系。
9.一种基于操作时间或电流判断闪存芯片可靠性的测试装置,其特征在于,包括处理器、闪存控制器、操作时间采集模块、电流采集模块、AD转换模块、数据存储模块;
所述处理器,用于向各个模块发送命令,控制模块执行数据采集操作;同时,统计闪存芯片所经历的编程/擦除周期次数,将统计结果发送给数据存储模块;
所述闪存控制器,用于对闪存芯片执行编程、读取和擦除操作并将操作时间采集模块所需的数据信息传递给操作时间采集模块;
所述操作时间采集模块,用于读取闪存控制器传递来的时钟信号信息并转换为操作时间数据发送给数据存储模块;
所述电流采集模块,用于电流采样,将采样的模拟信号传输给AD转换模块;
所述AD转换模块,用于将模拟信号转换成数据信号发送给数据存储模块;
所述数据存储模块,用于存储采集的数据。
10.根据权利要求9所述一种基于操作时间或电流判断闪存芯片可靠性的测试装置,其特征在于,所述处理器为ARM处理器,闪存控制器及操作时间采集模块通过FPGA实现,电流采集模块通过MAX44284电流检测放大器实现,AD转换模块通过ADC芯片实现,数据存储模块通过dram存储器实现。
CN201810502719.3A 2018-05-23 2018-05-23 一种基于操作时间或电流判断闪存芯片可靠性的方法及测试装置 Active CN108831517B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810502719.3A CN108831517B (zh) 2018-05-23 2018-05-23 一种基于操作时间或电流判断闪存芯片可靠性的方法及测试装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201810502719.3A CN108831517B (zh) 2018-05-23 2018-05-23 一种基于操作时间或电流判断闪存芯片可靠性的方法及测试装置

Publications (2)

Publication Number Publication Date
CN108831517A true CN108831517A (zh) 2018-11-16
CN108831517B CN108831517B (zh) 2021-04-27

Family

ID=64149065

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810502719.3A Active CN108831517B (zh) 2018-05-23 2018-05-23 一种基于操作时间或电流判断闪存芯片可靠性的方法及测试装置

Country Status (1)

Country Link
CN (1) CN108831517B (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109724637A (zh) * 2018-12-24 2019-05-07 北京控制工程研究所 一种基于试验数据的传感器元件质量评估方法
CN110033818A (zh) * 2019-03-20 2019-07-19 上海华虹宏力半导体制造有限公司 Sonos闪存芯片编程电压筛选方法
CN112817524A (zh) * 2021-01-19 2021-05-18 置富科技(深圳)股份有限公司 基于动态神经网络的闪存可靠性等级在线预测方法和装置
CN112817525A (zh) * 2021-01-19 2021-05-18 置富科技(深圳)股份有限公司 闪存芯片可靠性等级预测方法、装置及存储介质
CN112908399A (zh) * 2021-02-05 2021-06-04 置富科技(深圳)股份有限公司 闪存的异常检测方法、装置、计算机设备及存储介质
CN113436670A (zh) * 2020-03-23 2021-09-24 美商矽成积体电路股份有限公司 存储器检测方法与存储器检测系统
CN116434820A (zh) * 2023-02-21 2023-07-14 武汉置富半导体技术有限公司 存储成品的可靠性评价方法、装置、电子设备及存储介质
CN116467122A (zh) * 2022-01-19 2023-07-21 武汉置富半导体技术有限公司 一种闪存存储器数据保持能力的测试方法及装置
CN116469446A (zh) * 2022-02-18 2023-07-21 武汉置富半导体技术有限公司 闪存芯片的可靠性测试方法、装置、存储介质及设备

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003151298A (ja) * 2001-11-13 2003-05-23 Sharp Corp 電気的消去・書き込み可能な不揮発性半導体記憶装置の試験装置
CN101630534A (zh) * 2008-07-18 2010-01-20 中芯国际集成电路制造(上海)有限公司 非易失性存储器可靠性的测试方法和装置
KR20100013954A (ko) * 2008-08-01 2010-02-10 주식회사 하이닉스반도체 반도체 메모리 소자의 테스트 방법
CN102903395A (zh) * 2012-10-19 2013-01-30 上海宏力半导体制造有限公司 存储器的可靠性测试方法
CN103093834A (zh) * 2013-01-28 2013-05-08 上海宏力半导体制造有限公司 闪存的可靠性测试方法
CN104766629A (zh) * 2014-01-07 2015-07-08 北京兆易创新科技股份有限公司 增强nand型flash可靠性的方法
CN107817954A (zh) * 2017-11-22 2018-03-20 哈尔滨工业大学 一种NAND Flash存储可靠性评估方法
CN107967928A (zh) * 2017-10-18 2018-04-27 武汉忆数存储技术有限公司 一种基于数学模型的闪存芯片寿命预测方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003151298A (ja) * 2001-11-13 2003-05-23 Sharp Corp 電気的消去・書き込み可能な不揮発性半導体記憶装置の試験装置
CN101630534A (zh) * 2008-07-18 2010-01-20 中芯国际集成电路制造(上海)有限公司 非易失性存储器可靠性的测试方法和装置
KR20100013954A (ko) * 2008-08-01 2010-02-10 주식회사 하이닉스반도체 반도체 메모리 소자의 테스트 방법
CN102903395A (zh) * 2012-10-19 2013-01-30 上海宏力半导体制造有限公司 存储器的可靠性测试方法
CN103093834A (zh) * 2013-01-28 2013-05-08 上海宏力半导体制造有限公司 闪存的可靠性测试方法
CN104766629A (zh) * 2014-01-07 2015-07-08 北京兆易创新科技股份有限公司 增强nand型flash可靠性的方法
CN107967928A (zh) * 2017-10-18 2018-04-27 武汉忆数存储技术有限公司 一种基于数学模型的闪存芯片寿命预测方法
CN107817954A (zh) * 2017-11-22 2018-03-20 哈尔滨工业大学 一种NAND Flash存储可靠性评估方法

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109724637B (zh) * 2018-12-24 2021-02-05 北京控制工程研究所 一种基于试验数据的传感器元件质量评估方法
CN109724637A (zh) * 2018-12-24 2019-05-07 北京控制工程研究所 一种基于试验数据的传感器元件质量评估方法
CN110033818A (zh) * 2019-03-20 2019-07-19 上海华虹宏力半导体制造有限公司 Sonos闪存芯片编程电压筛选方法
CN110033818B (zh) * 2019-03-20 2021-01-22 上海华虹宏力半导体制造有限公司 Sonos闪存芯片编程电压筛选方法
CN113436670B (zh) * 2020-03-23 2024-03-26 美商矽成积体电路股份有限公司 存储器检测方法与存储器检测系统
CN113436670A (zh) * 2020-03-23 2021-09-24 美商矽成积体电路股份有限公司 存储器检测方法与存储器检测系统
CN112817524A (zh) * 2021-01-19 2021-05-18 置富科技(深圳)股份有限公司 基于动态神经网络的闪存可靠性等级在线预测方法和装置
CN112817525A (zh) * 2021-01-19 2021-05-18 置富科技(深圳)股份有限公司 闪存芯片可靠性等级预测方法、装置及存储介质
CN112908399A (zh) * 2021-02-05 2021-06-04 置富科技(深圳)股份有限公司 闪存的异常检测方法、装置、计算机设备及存储介质
CN112908399B (zh) * 2021-02-05 2022-01-18 置富科技(深圳)股份有限公司 闪存的异常检测方法、装置、计算机设备及存储介质
CN116467122A (zh) * 2022-01-19 2023-07-21 武汉置富半导体技术有限公司 一种闪存存储器数据保持能力的测试方法及装置
CN116467122B (zh) * 2022-01-19 2024-04-05 武汉置富半导体技术有限公司 一种闪存存储器数据保持能力的测试方法及装置
CN116469446A (zh) * 2022-02-18 2023-07-21 武汉置富半导体技术有限公司 闪存芯片的可靠性测试方法、装置、存储介质及设备
CN116434820A (zh) * 2023-02-21 2023-07-14 武汉置富半导体技术有限公司 存储成品的可靠性评价方法、装置、电子设备及存储介质

Also Published As

Publication number Publication date
CN108831517B (zh) 2021-04-27

Similar Documents

Publication Publication Date Title
CN108831517A (zh) 一种基于操作时间或电流判断闪存芯片可靠性的方法及测试装置
CN107967928B (zh) 一种基于数学模型的闪存芯片寿命预测方法
CN109830254A (zh) 一种闪存寿命预测方法、系统、存储介质
CN103578568B (zh) 固态硬盘的性能测试方法及装置
CN108766496A (zh) 一种在线动态预测闪存芯片寿命的方法及装置
CN108682442A (zh) 一种不同封装闪存芯片在线测试和分类方法及测试系统
CN106776095A (zh) Ssd固态硬盘数据可靠性智能检测方法及检测装置
CN109637576A (zh) 一种基于支持向量回归的闪存寿命预测方法
CN108847267A (zh) 一种基于错误模式的闪存寿命测试方法
CN106126384B (zh) 一种采集性能监视单元pmu事件的方法及装置
CN112530513B (zh) 一种基于FPGA的高精度flash擦写时间获取装置
CN105469834A (zh) 嵌入式闪存的测试方法
CN109918022A (zh) 一种ssd开卡坏块表继承方法
CN109830255A (zh) 一种基于特征量的闪存寿命预测方法、系统及存储介质
CN110764960B (zh) 一种固态硬盘固件测试方法
CN116400249A (zh) 储能电池的检测方法及装置
CN109815534B (zh) 一种基于决策树算法的闪存寿命预测方法及系统
CN116682479A (zh) 一种企业级固态硬盘时延指标的测试方法及测试系统
CN114121137B (zh) 一种Nand Flash颗粒功耗测试系统及方法
CN113409861B (zh) 阈值电压的获取系统、传递方法、装置、设备及存储介质
CN114327266B (zh) 一种存储装置的卡慢识别方法、装置、介质
CN115981911A (zh) 内存故障的预测方法、电子设备和计算机可读存储介质
CN109857333B (zh) 一种ssd介质的健康度处理方法、ssd控制器及磁盘阵列
CN212846788U (zh) 一种测风数据缺测检验系统
CN111948507A (zh) 一种不同写操作下相变存储芯片热稳定性预测方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CP03 Change of name, title or address

Address after: 430000 room 806-807, 8 / F, high tech building, 6-12 / F, scientific research building, No. 11, Jiayuan Road, Wuhan East Lake New Technology Development Zone, Wuhan, Hubei Province

Patentee after: Wuhan Zhifu Semiconductor Technology Co.,Ltd.

Address before: 430000 304-5, floor 3, building 2, Lanyu, Shuguang Software Park, central China, No. 1, Guanshan 1st Road, Donghu New Technology Development Zone, Wuhan, Hubei Province

Patentee before: WUHAN RECADATA STORAGE TECHNOLOGY CO.,LTD.

CP03 Change of name, title or address