CN108766496A - 一种在线动态预测闪存芯片寿命的方法及装置 - Google Patents

一种在线动态预测闪存芯片寿命的方法及装置 Download PDF

Info

Publication number
CN108766496A
CN108766496A CN201810503049.7A CN201810503049A CN108766496A CN 108766496 A CN108766496 A CN 108766496A CN 201810503049 A CN201810503049 A CN 201810503049A CN 108766496 A CN108766496 A CN 108766496A
Authority
CN
China
Prior art keywords
data
flash chip
module
flash
service life
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201810503049.7A
Other languages
English (en)
Other versions
CN108766496B (zh
Inventor
潘玉茜
李四林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wuhan Zhifu Semiconductor Technology Co.,Ltd.
Original Assignee
Wuhan Memory Storage Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Wuhan Memory Storage Technology Co Ltd filed Critical Wuhan Memory Storage Technology Co Ltd
Priority to CN201810503049.7A priority Critical patent/CN108766496B/zh
Publication of CN108766496A publication Critical patent/CN108766496A/zh
Application granted granted Critical
Publication of CN108766496B publication Critical patent/CN108766496B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/34Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention
    • G11C16/349Arrangements for evaluating degradation, retention or wearout, e.g. by counting erase cycles
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/12Computing arrangements based on biological models using genetic models
    • G06N3/123DNA computing
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/56External testing equipment for static stores, e.g. automatic test equipment [ATE]; Interfaces therefor

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biophysics (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Evolutionary Biology (AREA)
  • Health & Medical Sciences (AREA)
  • Theoretical Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Computing Systems (AREA)
  • Computational Linguistics (AREA)
  • Artificial Intelligence (AREA)
  • Evolutionary Computation (AREA)
  • General Health & Medical Sciences (AREA)
  • Molecular Biology (AREA)
  • Biomedical Technology (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • Genetics & Genomics (AREA)
  • Techniques For Improving Reliability Of Storages (AREA)
  • Read Only Memory (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)

Abstract

本发明涉及一种在线动态预测闪存芯片寿命的方法及装置,通过在线采集闪存芯片的可靠性信息,并不断进行新的寿命预测操作,克服现有预测技术的缺点,对闪存芯片的剩余寿命进行实时预测。

Description

一种在线动态预测闪存芯片寿命的方法及装置
技术领域
本发明涉及闪存芯片寿命预测技术领域,具体涉及一种在线动态预测闪存芯片寿命的方法及装置。
背景技术
在电子设备中,存储器作为存储数据的载体一直是非常重要的组成部分。目前,应用在电子设备中的存储器主要分为两类:易失性存储器和非易失性存储器。闪存芯片作为一种非易失性存储器以其存储容量高、制造成本低等优点,在通信、消费、计算机、工业控制、军事等领域已成为当前发展最快的存储器产品,并在非易失性存储器市场中占有绝对重要的地位。
在闪存芯片使用过程中,其存储单元的介质会随着闪存芯片编程/擦除周期次数的增加而产生缺陷并最终导致存储单元失效,若闪存芯片在运行时产生了无法纠正的错误将影响整个存储系统的正常使用。目前,随着半导体制造工艺的进步,闪存氧化层厚度的降低以及存储单元间距离的减小使闪存芯片的可靠性不断降低,闪存芯片的可靠性降低问题逐渐成为当前存储器研究领域所要克服的首要课题。预测闪存的剩余使用寿命,能够让使用者获得闪存芯片的可靠性信息,在闪存芯片寿命达到上限前进行数据迁移,避免因闪存芯片突然失效而导致的数据流失。
现有的闪存芯片寿命预测技术均通过一次采集的数据建立寿命预测模型,这种预测方法不针对闪存芯片的状态进行实时预测,很难预测出符合闪存实际可靠性状态的剩余寿命值。
发明内容
本发明针对现有技术中存在的技术问题,提供一种在线动态预测闪存芯片寿命的方法及装置,通过在线采集闪存芯片的可靠性信息,并不断进行新的寿命预测操作能够克服现有预测技术的缺点,对闪存芯片的剩余寿命进行实时预测。
本发明解决上述技术问题的技术方案如下:
一方面,本发明提供一种在线动态预测闪存芯片寿命的方法,包括以下步骤:
步骤1,间歇式获取目标闪存芯片的物理量数据,同时记录闪存芯片所经历的总编程/擦除周期次数;
步骤2,将获取的物理量数据以及对应的闪存芯片编程/擦除周期次数输入到在线闪存寿命预测程序中,进行数据处理;
步骤3,根据数据处理结果,预测目标闪存芯片的剩余寿命值并输出。
进一步,所述间歇式指:
两次获取目标闪存芯片的物理量数据之间,数据采集装置等待n次编程/擦除周期,其中,n的值根据需求确定且可为零,当n为零时,数据采集装置实时的获取目标闪存芯片的物理量数据。
进一步,执行步骤2和步骤3时,步骤1的数据获取与保存操作将继续执行,步骤1执行的停止条件为用户终止执行或闪存芯片达到寿命极限。
进一步,所述物理量数据包括目标闪存芯片的编程时间、读取时间、擦除时间、电流、阈值电压分布和错误率中的一种或几种的数据。
进一步,所述步骤1包括:
步骤101,记录当前状态下目标闪存芯片所经历的编程/擦除周期次数;
步骤102,对闪存芯片执行写入数据操作,并记录闪存芯片各个页面的编程时间以及编程时的电流;
步骤103,对闪存芯片执行读数据操作,采集闪存芯片的存储单元阈值电压分布、各个页面的读取时间以及读操作时的电流,同时将读出数据与写入的测试数据进行比较,计算错误率;
步骤104,对目标闪存芯片执行擦除数据操作,采集闪存芯片存储块的擦除时间以及擦除操作时的电流。
进一步,步骤2中所述的在线闪存寿命预测程序的输入包括:从第一次执行步骤1开始到当前时刻执行步骤1所获取的全部数据,所述全部数据包括目标闪存芯片的物理量数据,以及闪存芯片所经历的总编程/擦除周期次数。
进一步,所述步骤2包括:
步骤201,在线闪存寿命预测程序初始化;设置闪存芯片寿命预测规则;
步骤202,读取步骤1获取的目标闪存芯片的物理量数据以及对应的闪存芯片编程/擦除周期次数集合;
步骤203,对步骤202中读取的数据根据存储块的个数或闪存芯片编程/擦除周期次数进行分组操作;将其中一组或多组作为训练集,剩余多组作为验证集;
步骤204,依次读取训练集数据,根据闪存芯片寿命预测规则进行数据处理并保存处理结果;
步骤205,利用验证集对处理结果进行交叉验证操作,选取匹配度最高的预测结果作为输出。
另一方面,本发明还提供一种在线动态预测闪存芯片寿命的装置,包括:
处理器:装置中处理器负责对各个模块发送命令,控制模块执行数据采集操作,对数据添加标记;同时,统计闪存芯片所经历的编程/擦除周期次数,将统计结果发送给数据存储模块;
闪存控制器:闪存控制器负责对闪存芯片执行编程、读取和擦除操作并将操作时间采集模块、阈值电压分布统计模块及错误个数统计模块所需的数据信息传递给各个模块;
电流采集模块:电流采集模块负责采样电流,将采样的模拟信号传输给AD转换模块;
AD转换模块:AD转换模块将模拟信号转换成数据信号发送给数据存储模块;
操作时间采集模块:操作时间采集模块负责读取闪存控制器传递来的时钟信号信息并转换为操作时间数据发送给数据存储模块;
阈值电压分布统计模块:阈值电压分布统计模块负责接收闪存控制器在进行READ-RETRY操作后读取的数据转换为阈值电压分布数据发送给数据存储模块;
错误个数统计模块:错误个数统计模块对比闪存控制器写入时的数据与读取的数据,统计错误比特数将统计结果发送给数据存储模块;
数据存储模块:数据存储模块负责存储采集的数据。。
本发明的有益效果是:1.本发明中提出的在线动态预测闪存芯片寿命的方法能够在不破环闪存芯片的情况下实时预测闪存芯片的剩余寿命值,克服了一般寿命预测方法破坏闪存芯片以及不能实时预测的缺点。2.本发明通过数据采集装置实时采集闪存芯片的可靠性数据信息,由计算机程序不断进行新的寿命预测操作,与仅建立一个预测模型的方法相比能够更好地模拟闪存芯片的使用情况。3.本发明将操作时间、电流等参数作为在线动态预测程序的输入,与仅以错误率为依据的寿命预测方法相比预测值的准确度更高。
附图说明
图1为本发明实施例一种在线动态预测闪存芯片剩余寿命方法的流程示意图。
图2为本发明实施例一种数据采集装置的结构图。
图3为本发明实施例中装置采集并保存数据的流程示意图。
图4为本发明实施例中在线闪存寿命预测程序运行的流程示意图。
图5为本发明实施例中寿命预测函数的表达方式示例图。
图6为本发明实施例中基因编程算法交叉操作示例图。
图7为本发明实施例中基因编程算法突变操作示例图。
图8为本发明实施例中基因编程算法繁殖操作示例图。
图9为本发明实施例中闪存剩余寿命预测值的计算流程示意图。
具体实施方式
以下结合附图对本发明的原理和特征进行描述,所举实例只用于解释本发明,并非用于限定本发明的范围。
图1为本发明实现在线动态预测闪存芯片剩余寿命的流程示意图,图中所示在线动态预测闪存芯片剩余寿命流程适用于所有闪存芯片类型,下面以一种的闪存芯片产品为实施例对图1进行详细的解释说明。
本实施例中,将某制造工艺下的多极单元NAND闪存(MLC NAND flash)产品作为在线动态预测闪存芯片剩余寿命的对象。如图1所示
步骤S01,由特定装置实时获取预测目标闪存芯片寿命所需的数据,获取的数据通过装置保存在存储空间。所述数据包括:目标闪存芯片的操作时间、操作电流、阈值电压分布和错误率中的一种或几种数据的组合,以及当前状态下目标闪存芯片所经历的总编程/擦除周期次数。其中,闪存芯片操作时间包括:闪存芯片的编程时间、读取时间以及擦除时间;闪存芯片电流包括:闪存芯片进行编程操作时的电流、读取操作时的电流以及擦除操作时的电流。
步骤S01中,装置的结构如图2所示,装置中各个模块的功能为:
处理器:装置中处理器负责对各个模块发送命令,控制模块执行数据采集操作,对数据添加标记;同时,统计闪存芯片所经历的编程/擦除周期次数,将统计结果发送给数据存储模块。
闪存控制器:闪存控制器负责对闪存芯片执行编程、读取和擦除操作并将操作时间采集模块、阈值电压分布统计模块及错误个数统计模块所需的数据信息传递给各个模块。
电流采集模块:电流采集模块负责采样电流,将采样的模拟信号传输给AD转换模块。
AD转换模块:AD转换模块将模拟信号转换成数据信号发送给数据存储模块。
操作时间采集模块:操作时间采集模块负责读取闪存控制器传递来的时钟信号信息并转换为操作时间数据发送给数据存储模块。
阈值电压分布统计模块:阈值电压分布统计模块负责接收闪存控制器在进行READ-RETRY操作后读取的数据转换为阈值电压分布数据发送给数据存储模块。
错误个数统计模块:错误个数统计模块对比闪存控制器写入时的数据与读取的数据,统计错误比特数将统计结果发送给数据存储模块。
数据存储模块:数据存储模块负责存储采集的数据。
上述数据采集装置中的处理器为ARM处理器,闪存控制器、操作时间采集模块、阈值电压分布统计模块及错误个数统计模块通过FPGA实现,电流采集模块通过MAX44284电流检测放大器实现,AD转换模块通过ADC芯片实现,数据存储模块通过dram存储器实现。
步骤S01的实施流程如图3所示,装置采集并保存数据的具体操作为:
(1)由装置记录当前状态下目标闪存芯片所经历的编程/擦除周期次数。
(2)闪存控制器对闪存芯片执行写入数据操作。
(3)操作时间采集模块统计闪存芯片各个页面的编程时间,电流采集模块采集编程时的电流,数据存储模块保存数据。
(4)执行完数据写入操作之后,闪存控制器对闪存芯片执行读数据操作。
(5)装置中的阈值电压分布统计模块采集闪存芯片的存储单元阈值电压分布,操作时间采集模块统计闪存芯片各个页面的读取时间,电流采集模块采集读操作时的电流,同时错误个数统计模块错误个数统计模块将读出数据与发送的测试数据进行比较,计算错误率;数据存储模块保存数据。
(6)执行完数据读取操作之后,闪存控制器对目标闪存芯片执行擦除数据操作。
(7)操作时间采集模块统计闪存芯片各个页面的擦除时间,电流采集模块采集擦除数据时的电流,数据存储模块保存数据。
(8)处理器对数据存储模块中的数据进行分类标记。数据的分类标记方式为:相同物理量名称的数据添加相同标记,例如属于编程时间的数据均添加标记PT(ProgramTime),且不同物理量名称的标记不同;同一目标芯片的数据添加相同标记,不同芯片对应的标记不同;对应相同编程/擦除周期次数的数据添加相同标记,不同编程/擦除周期次数对应的标记不同。
(9)装置等待n次编程/擦除周期。其中,n的值根据需求确定且可以为零。
(10)判断用户是否要求中止数据采集或闪存芯片是否失效。若此时用户要求中止数据采集或闪存芯片失效,装置停止采集数据,否则返回操作(1)继续采集数据。
所述闪存芯片物理信息的具体获取方式为:
闪存芯片的编程时间:闪存控制器对闪存芯片执行写入数据操作时,操作时间采集模块记录经过的时钟周期在收到闪存芯片返回数据编程完成标志后停止记录时钟周期数;编程时间值为时钟周期持续时间乘以编程时钟周期数。
闪存芯片读取时间及擦除时间的获取方式与编程时间获取方式同理,由操作时间采集模块记录操作持续的时钟周期数,操作时间值为时钟周期持续时间乘以操作时钟周期数。
闪存芯片的操作电流:在对闪存芯片执行操作时,由电流采集模块采集闪存芯片的电流信号,通过AD模块将电流模拟信号转换成数据信号,得到操作电流值。
闪存芯片存储单元阈值电压分布:闪存控制器向闪存芯片发送READ-RETRY命令集逐步改变闪存的读参考电压同时读出数据,阈值电压分布统计模块根据读数据值统计阈值电压分布。
闪存芯片错误率:闪存控制器对闪存芯片执行读数据操作从闪存中读出数据,错误个数统计模块将读出的数据与写入的测试数据进行对比统计错误数据个数,错误率为错误个数除以总的数据个数。
步骤S02,在线闪存寿命预测程序从装置的数据存储模块中读取数据。读取数据通过处理器向数据存储模块发送指令,将模块中存储的数据读取到在线闪存寿命预测程序。所述闪存寿命预测程序由计算机语言编写实现。
步骤S03,训练程序初始化,设置闪存芯片寿命预测规则。初始化的具体操作为:程序选择实现寿命预测所用的算法,配置算法的参数。本实施例中通过建立闪存寿命预测模型的方法实现寿命预测,以基因编程算法作为在线训练闪存寿命预测模型的算法,本发明中所述的寿命预测算法不限于该算法。
初始化配置的算法参数包括:基因编程算法的终止条件,函数系数的生成方式,函数运算符集合的组成以及输入输出变量的组成。本实施例中,基因编程算法的终止条件为算法迭代代数大于200,函数系数为计算机程序随机产生的常数,函数运算符集合为{‘+’,‘-’,‘*’,‘%’},输入变量为擦除时间及错误率,算法的输出变量为与擦除时间及错误率对应的闪存芯片的编程/擦除周期数。
闪存芯片的寿命预测规则为:以错误率达到纠错码上限时的编程/擦除周期数为闪存芯片的寿命值上限。
步骤S04,在线闪存寿命预测程序通过基因编程算法处理数据训练闪存寿命预测模型。本实施例步骤S04中,使用基因编程算法训练闪存寿命预测模型的流程如图4所示。根据图4,基因编程算法执行的具体流程为:
(1)计算机程序初始化闪存芯片寿命预测函数集合;设置寿命预测函数筛选方程。
(2)将采集到的擦除时间及错误率代入寿命预测函数集合中的每个函数;计算函数结果,即闪存芯片寿命预测值;将计算得到的闪存芯片寿命预测值与采集到的闪存芯片编程/擦除周期数代入适应度方程,根据适应度方程计算结果筛选寿命预测函数。
(3)在经过筛选的寿命预测函数集合的基础上,使用基因编程操作生成新的函数集合。
(4)对新的函数集合重复执行步骤(2)和步骤(3)的操作,基因编程代数达到200时终止操作。
(5)从集合中选择预测值与实际闪存芯片编程/擦除周期数匹配度最佳的函数,基因编程算法输出函数。
步骤S04中,基因编程算法通过计算机程序实现,所使用的计算机语言不限定于某一种计算机语言。本实施例中通过matlab实现基因编程算法。
依据基因编程的定义,步骤(1)所述的寿命预测函数的表达方式采用树形结构,如图5所示。所述函数包括运算符、系数及输入变量;其中系数为计算机程序随机产生的常数,输入变量为擦除时间和错误率。
本实施例中,基因编程算法步骤(2)所述适应度方程指的是函数计算值与实际值之差的绝对值加权和。适应度方程具体表示为:F=ω1|A1-B1|+ω2|A2-B2|+…+ωn|An-Bn|;其中,适应度方程代表符号为F;Ai表示预测值;Bi为实际值;ωi为权值,ωi的取值大于0小于等于1;n为样本总数。
根据基因编程的定义,步骤(3)中所述的生成新函数集合的操作包括:函数的交叉、突变和繁殖操作。所述函数的交叉操作如图6所示,具体操作为交换树型结构函数的节点,将交换后得到的函数作为新的函数集合成员。突变操作的示意图如图7所示,突变操作通过计算机程序随机产生函数,将随机产生的函数替换父辈函数的表达式分支得到新的子辈函数。基因编程繁殖操作的示意图如图8所示,繁殖操作将选择操作之后满足要求的函数按一定概率进行复制,复制后的函数作为新的子辈。
步骤S05,程序对基因编程算法输出的模型进行交叉验证操作,具体为将装置采集到的数据分成:训练数据集合和验证数据集合。本实施例中,将数据分成5组。其中4组作为训练数据集合,1组作为验证数据集合。每组数据集合都将被验证一次。
验证方法为,通过计算均方根误差验证模型的准确度:
其中,RMSE为均方根误差代表符号;n为样本总数;Xobs,i为第i个闪存芯片寿命测量值;Xmodel,i为第i个闪存芯片寿命预测模型预测值。
步骤S06,在步骤S05的基础上选取准确度最佳的闪存寿命预测模型。判断验证结果的标准为:RMSE的值越小,模型的准确度越高。
步骤S07,程序根据步骤S03的闪存芯片寿命预测规则,计算闪存芯片的寿命预测值。计算步骤如图9所示,依据图9具体的计算方法为:将纠错码达到上限时的错误率及目标闪存芯片最大擦除时间输入到步骤06选取的模型中,计算当前状态下闪存芯片的寿命上限值,由计算得到的上限值减去目前闪存芯片所经历的编程/擦除周期数得到闪存芯片的剩余寿命预测值。
以上所述仅为本发明的较佳实施例,并不用以限制本发明,凡在本发明的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。

Claims (8)

1.一种在线动态预测闪存芯片寿命的方法,其特征在于,包括以下步骤:
步骤1,间歇式获取目标闪存芯片的物理量数据,同时记录闪存芯片所经历的总编程/擦除周期次数;
步骤2,将获取的物理量数据以及对应的闪存芯片编程/擦除周期次数输入到在线闪存寿命预测程序中,进行数据处理;
步骤3,根据数据处理结果,预测目标闪存芯片的剩余寿命值并输出。
2.根据权利要求1所述一种在线动态预测闪存芯片寿命的方法,其特征在于,所述间歇式指:
两次获取目标闪存芯片的物理量数据之间,数据采集装置等待n次编程/擦除周期,其中,n的值根据需求确定且可为零,当n为零时,数据采集装置实时的获取目标闪存芯片的物理量数据。
3.根据权利要求1所述的一种在线动态预测闪存芯片寿命的方法,其特征在于,执行步骤2和步骤3时,步骤1的数据获取与保存操作将继续执行,步骤1执行的停止条件为用户终止执行或闪存芯片达到寿命极限。
4.根据权利要求1所述一种在线动态预测闪存芯片寿命的方法,其特征在于,所述物理量数据包括目标闪存芯片的编程时间、读取时间、擦除时间、电流、阈值电压分布和错误率中的一种或几种的数据。
5.根据权利要求4所述一种在线动态预测闪存芯片寿命的方法,其特征在于,所述步骤1包括:
步骤101,记录当前状态下目标闪存芯片所经历的编程/擦除周期次数;
步骤102,对闪存芯片执行写入数据操作,并记录闪存芯片各个页面的编程时间以及编程时的电流;
步骤103,对闪存芯片执行读数据操作,采集闪存芯片的存储单元阈值电压分布、各个页面的读取时间以及读操作时的电流,同时将读出数据与写入的测试数据进行比较,计算错误率;
步骤104,对目标闪存芯片执行擦除数据操作,采集闪存芯片存储块的擦除时间以及擦除操作时的电流。
6.根据权利要求1所述一种在线动态预测闪存芯片寿命的方法,其特征在于,步骤2中所述的在线闪存寿命预测程序的输入包括:从第一次执行步骤1开始到当前时刻执行步骤1所获取的全部数据,所述全部数据包括目标闪存芯片的物理量数据,以及闪存芯片所经历的总编程/擦除周期次数。
7.根据权利要求6所述一种在线动态预测闪存芯片寿命的方法,其特征在于,所述步骤2包括:
步骤201,在线闪存寿命预测程序初始化;设置闪存芯片寿命预测规则;
步骤202,读取步骤1获取的目标闪存芯片的物理量数据以及对应的闪存芯片编程/擦除周期次数集合;
步骤203,对步骤202中读取的数据根据存储块的个数或闪存芯片编程/擦除周期次数进行分组操作;将其中一组或多组作为训练集,剩余多组作为验证集;
步骤204,依次读取训练集数据,根据闪存芯片寿命预测规则进行数据处理并保存处理结果;
步骤205,利用验证集对处理结果进行交叉验证操作,选取匹配度最高的预测结果作为输出。
8.一种在线动态预测闪存芯片寿命的装置,其特征在于,包括
处理器:装置中处理器负责对各个模块发送命令,控制模块执行数据采集操作,对数据添加标记;同时,统计闪存芯片所经历的编程/擦除周期次数,将统计结果发送给数据存储模块;
闪存控制器:闪存控制器负责对闪存芯片执行编程、读取和擦除操作并将操作时间采集模块、阈值电压分布统计模块及错误个数统计模块所需的数据信息传递给各个模块;
电流采集模块:电流采集模块负责采样电流,将采样的模拟信号传输给AD转换模块;
AD转换模块:AD转换模块将模拟信号转换成数据信号发送给数据存储模块;
操作时间采集模块:操作时间采集模块负责读取闪存控制器传递来的时钟信号信息并转换为操作时间数据发送给数据存储模块;
阈值电压分布统计模块:阈值电压分布统计模块负责接收闪存控制器在进行READ-RETRY操作后读取的数据转换为阈值电压分布数据发送给数据存储模块;
错误个数统计模块:错误个数统计模块对比闪存控制器写入时的数据与读取的数据,统计错误比特数将统计结果发送给数据存储模块;
数据存储模块:数据存储模块负责存储采集的数据。
CN201810503049.7A 2018-05-23 2018-05-23 一种在线动态预测闪存芯片寿命的方法及装置 Active CN108766496B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810503049.7A CN108766496B (zh) 2018-05-23 2018-05-23 一种在线动态预测闪存芯片寿命的方法及装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201810503049.7A CN108766496B (zh) 2018-05-23 2018-05-23 一种在线动态预测闪存芯片寿命的方法及装置

Publications (2)

Publication Number Publication Date
CN108766496A true CN108766496A (zh) 2018-11-06
CN108766496B CN108766496B (zh) 2020-10-16

Family

ID=64005128

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810503049.7A Active CN108766496B (zh) 2018-05-23 2018-05-23 一种在线动态预测闪存芯片寿命的方法及装置

Country Status (1)

Country Link
CN (1) CN108766496B (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109634527A (zh) * 2018-12-12 2019-04-16 华中科技大学 一种ssd内实现的闪存寿命预测方法
CN109817267A (zh) * 2018-12-17 2019-05-28 武汉忆数存储技术有限公司 一种基于深度学习的闪存寿命预测方法、系统及计算机可读存取介质
CN110007857A (zh) * 2019-03-08 2019-07-12 北京星网锐捷网络技术有限公司 一种闪存芯片的寿命预测方法及装置
CN110147290A (zh) * 2019-04-15 2019-08-20 深圳市纽创信安科技开发有限公司 芯片年龄判断方法、装置、芯片及终端
CN110211626A (zh) * 2019-05-13 2019-09-06 华中科技大学 一种闪存存储器健康度的度量方法及度量系统
CN111312326A (zh) * 2020-03-09 2020-06-19 宁波三星医疗电气股份有限公司 闪存寿命测试方法、装置、电力采集终端及存储介质
CN111782156A (zh) * 2020-08-17 2020-10-16 Oppo广东移动通信有限公司 存储器寿命指标制定方法、装置、存储介质以及终端
CN113742176A (zh) * 2021-09-03 2021-12-03 联想(北京)有限公司 一种故障预测方法、装置和电子设备
CN113988375A (zh) * 2021-09-28 2022-01-28 通号城市轨道交通技术有限公司 铁路道岔故障预测方法及装置
WO2022052147A1 (zh) * 2020-09-10 2022-03-17 苏州大学 基于三维闪存存储结构可预测闪存块使用寿命方法及系统
CN114705973A (zh) * 2022-06-01 2022-07-05 北京航空航天大学杭州创新研究院 非侵入式的复杂环境集成电路老化监测方法
WO2022213410A1 (zh) * 2021-04-08 2022-10-13 置富科技(深圳)股份有限公司 一种闪存的有效性预测方法、装置及存储介质

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070263444A1 (en) * 2006-05-15 2007-11-15 Gorobets Sergey A Non-Volatile Memory System with End of Life Calculation
CN101159169A (zh) * 2006-10-05 2008-04-09 国际商业机器公司 用于闪存的寿命终止预测的方法和电子设备
US20100262792A1 (en) * 2009-04-08 2010-10-14 Steven Robert Hetzler System, method, and computer program product for estimating when a reliable life of a memory device having finite endurance and/or retention, or portion thereof, will be expended
US20130044546A1 (en) * 2011-08-16 2013-02-21 Micron Technology, Inc. Determining system lifetime characteristics
CN105679369A (zh) * 2015-12-28 2016-06-15 上海华虹宏力半导体制造有限公司 闪存寿命预测方法和筛选方法
CN106504794A (zh) * 2015-09-04 2017-03-15 Hgst荷兰公司 用于闪存装置的操作参数
CN106688044A (zh) * 2014-12-22 2017-05-17 桑迪士克科技有限责任公司 基于单元电压分布单独测量存储器磨损和数据保持

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070263444A1 (en) * 2006-05-15 2007-11-15 Gorobets Sergey A Non-Volatile Memory System with End of Life Calculation
CN101159169A (zh) * 2006-10-05 2008-04-09 国际商业机器公司 用于闪存的寿命终止预测的方法和电子设备
US20100262792A1 (en) * 2009-04-08 2010-10-14 Steven Robert Hetzler System, method, and computer program product for estimating when a reliable life of a memory device having finite endurance and/or retention, or portion thereof, will be expended
US20130044546A1 (en) * 2011-08-16 2013-02-21 Micron Technology, Inc. Determining system lifetime characteristics
CN106688044A (zh) * 2014-12-22 2017-05-17 桑迪士克科技有限责任公司 基于单元电压分布单独测量存储器磨损和数据保持
CN106504794A (zh) * 2015-09-04 2017-03-15 Hgst荷兰公司 用于闪存装置的操作参数
CN105679369A (zh) * 2015-12-28 2016-06-15 上海华虹宏力半导体制造有限公司 闪存寿命预测方法和筛选方法

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109634527A (zh) * 2018-12-12 2019-04-16 华中科技大学 一种ssd内实现的闪存寿命预测方法
CN109817267B (zh) * 2018-12-17 2021-02-26 武汉忆数存储技术有限公司 一种基于深度学习的闪存寿命预测方法、系统及计算机可读存取介质
CN109817267A (zh) * 2018-12-17 2019-05-28 武汉忆数存储技术有限公司 一种基于深度学习的闪存寿命预测方法、系统及计算机可读存取介质
CN110007857A (zh) * 2019-03-08 2019-07-12 北京星网锐捷网络技术有限公司 一种闪存芯片的寿命预测方法及装置
CN110007857B (zh) * 2019-03-08 2022-08-19 北京星网锐捷网络技术有限公司 一种闪存芯片的寿命预测方法及装置
CN110147290A (zh) * 2019-04-15 2019-08-20 深圳市纽创信安科技开发有限公司 芯片年龄判断方法、装置、芯片及终端
CN110147290B (zh) * 2019-04-15 2023-06-27 深圳市纽创信安科技开发有限公司 芯片年龄判断方法、装置、芯片及终端
CN110211626A (zh) * 2019-05-13 2019-09-06 华中科技大学 一种闪存存储器健康度的度量方法及度量系统
CN111312326A (zh) * 2020-03-09 2020-06-19 宁波三星医疗电气股份有限公司 闪存寿命测试方法、装置、电力采集终端及存储介质
CN111782156A (zh) * 2020-08-17 2020-10-16 Oppo广东移动通信有限公司 存储器寿命指标制定方法、装置、存储介质以及终端
WO2022052147A1 (zh) * 2020-09-10 2022-03-17 苏州大学 基于三维闪存存储结构可预测闪存块使用寿命方法及系统
WO2022213410A1 (zh) * 2021-04-08 2022-10-13 置富科技(深圳)股份有限公司 一种闪存的有效性预测方法、装置及存储介质
CN113742176A (zh) * 2021-09-03 2021-12-03 联想(北京)有限公司 一种故障预测方法、装置和电子设备
CN113988375A (zh) * 2021-09-28 2022-01-28 通号城市轨道交通技术有限公司 铁路道岔故障预测方法及装置
CN114705973A (zh) * 2022-06-01 2022-07-05 北京航空航天大学杭州创新研究院 非侵入式的复杂环境集成电路老化监测方法
CN114705973B (zh) * 2022-06-01 2022-11-11 北京航空航天大学杭州创新研究院 非侵入式的复杂环境集成电路老化监测方法

Also Published As

Publication number Publication date
CN108766496B (zh) 2020-10-16

Similar Documents

Publication Publication Date Title
CN108766496A (zh) 一种在线动态预测闪存芯片寿命的方法及装置
CN107967928B (zh) 一种基于数学模型的闪存芯片寿命预测方法
CN109830254A (zh) 一种闪存寿命预测方法、系统、存储介质
CN109817267B (zh) 一种基于深度学习的闪存寿命预测方法、系统及计算机可读存取介质
CN104332178B (zh) 固态非易失性存储单元的部分重新编程
US11235355B2 (en) System and method for binning at final test
CN109634527B (zh) 一种ssd内实现的闪存寿命预测方法
KR101509836B1 (ko) 멀티 유닛 메모리 디바이스에서의 메모리 유닛의 최적화된 선택
CN109637576A (zh) 一种基于支持向量回归的闪存寿命预测方法
CN108831517A (zh) 一种基于操作时间或电流判断闪存芯片可靠性的方法及测试装置
CN103219041A (zh) 用于模拟存储单元的增强编程和擦除方案
CN109830255A (zh) 一种基于特征量的闪存寿命预测方法、系统及存储介质
CN112817524A (zh) 基于动态神经网络的闪存可靠性等级在线预测方法和装置
CN111949459B (zh) 一种基于迁移学习和主动学习的硬盘故障预测方法及系统
CN116402117A (zh) 图像分类卷积神经网络剪枝方法及芯粒器件数据分配方法
CN108170366A (zh) 存储设备中的存储介质管理方法、装置和存储设备
CN114116292B (zh) 一种融合ap聚类与宽度学习系统的硬盘故障预测方法
CN109815534B (zh) 一种基于决策树算法的闪存寿命预测方法及系统
CN112908391B (zh) 一种基于数学模型的闪存分类方法及装置
CN109871594A (zh) 一种NAND Flash特性模型建立方法
CN104067348B (zh) 用于模拟存储器单元的编程及擦除方案
CN108062965A (zh) 半导体存储装置、控制器及其操作方法
Liu et al. Adaptive methods for machine learning-based testing of integrated circuits and boards
CN113688564B (zh) 一种预测ssd硬盘剩余寿命的方法、装置、终端及存储介质
CN113836741A (zh) 基于多功能系统退化过程的重构和可靠性评估的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CP03 Change of name, title or address

Address after: 430000 room 806-807, 8 / F, high tech building, 6-12 / F, scientific research building, No. 11, Jiayuan Road, Wuhan East Lake New Technology Development Zone, Wuhan, Hubei Province

Patentee after: Wuhan Zhifu Semiconductor Technology Co.,Ltd.

Address before: 430000 304-5, floor 3, building 2, Lanyu, Shuguang Software Park, central China, No. 1, Guanshan 1st Road, Donghu New Technology Development Zone, Wuhan, Hubei Province

Patentee before: WUHAN RECADATA STORAGE TECHNOLOGY CO.,LTD.

CP03 Change of name, title or address