CN109830254A - 一种闪存寿命预测方法、系统、存储介质 - Google Patents

一种闪存寿命预测方法、系统、存储介质 Download PDF

Info

Publication number
CN109830254A
CN109830254A CN201811544535.XA CN201811544535A CN109830254A CN 109830254 A CN109830254 A CN 109830254A CN 201811544535 A CN201811544535 A CN 201811544535A CN 109830254 A CN109830254 A CN 109830254A
Authority
CN
China
Prior art keywords
flash memory
data
characteristic quantity
service life
artificial neural
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201811544535.XA
Other languages
English (en)
Inventor
刘政林
潘玉茜
张浩明
李四林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wuhan Memory Storage Technology Co Ltd
Original Assignee
Wuhan Memory Storage Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Wuhan Memory Storage Technology Co Ltd filed Critical Wuhan Memory Storage Technology Co Ltd
Priority to CN201811544535.XA priority Critical patent/CN109830254A/zh
Publication of CN109830254A publication Critical patent/CN109830254A/zh
Pending legal-status Critical Current

Links

Abstract

本发明涉及一种闪存寿命预测方法、系统和计算机可读存储介质。本发明首先通过闪存数据采集装置收集预测闪存寿命所需的特征量数据,然后对特征量进行运算操作,将测量得到的特征量及特征量的运算结果构成集合,取集合中的子集作为人工神经网络的输入,运行人工神经网络,最后由人工神经网络计算得到特征量对应的闪存的寿命预测值。本发明中提出的采用人工神经网络预测闪存寿命的方法,能够更加有效地提取闪存参数的变化特征,同时可通过输入新的数据或改变训练方法等方式优化人工神经网络的预测能力,与一般预测方法相比灵活度更高。

Description

一种闪存寿命预测方法、系统、存储介质
技术领域
本发明涉及闪存寿命预测技术领域,尤其是涉及一种基于人工神经网络的闪存寿命预测方法、系统和计算机可读存储介质。
背景技术
随着电子技术的发展,存储器作为电子设备中存储数据的载体在现代生活中具有越来越重要的地位。闪存是一种非易失性存储器,它能够在掉电后长时间保存数据,并且有着数据传输速度快、生产成本低、存储容量大等优点,在通信、消费、计算机、工业控制、军事等领域闪存逐渐成为当前最有潜力的存储器产品。
可靠性降低一直是闪存中数据存储的主要问题之一。在闪存使用过程中,其单元存储的数据会出现各种错误并最终导致存储单元失效,若存储介质在闪存运行时产生了无法纠正的数据错误将影响整个存储系统的正常使用。闪存的使用寿命代表闪存在失效前的能够执行的操作次数,是闪存最重要的参数指标。预测闪存的剩余使用寿命,能够让闪存存储设备使用者在使用设备期间实时了解存储器的损耗状态,从而避免因存储器单元失效而造成的数据流失。同时,存储器用户还可根据预测得到的闪存剩余寿命信息,改变存储数据策略提高数据存储的可靠性。
发明内容
本发明针对现有技术中存在的技术问题,提供一种基于人工神经网络的闪存寿命预测方法、系统和计算机可读存储介质。
本发明解决上述技术问题的技术方案如下:
第一方面,本发明提供一种闪存寿命预测方法,包括:
步骤1,采集待预测闪存的特征量;
步骤2,对所述特征量中的一种或几种进行运算操作,得到运算处理值;
步骤3,将所述特征量及所述运算处理值构成集合,取集合中的子集作为人工神经网络的输入,运行人工神经网络,由人工神经网络计算得到特征量对应的闪存的寿命预测值。
进一步的,所述待预测闪存的物理量至少包括以下特征量中的一种或多种:闪存的编程时间、读取时间、擦除时间、电流、芯片功耗、阈值电压分布、存储块编号、存储页号、闪存当前经历过的编程/擦除周期数、条件错误页数、条件错误块数、错误比特数和错误率。
进一步的,所述的对所述特征量中的一种或几种进行运算操作,至少包括以下运算方法中的一种或多种:
特征量的线性运算、特征量的非线性运算、不同特征量间的线性运算、不同特征量间的非线性运算、计算不同存储页面特征量的最大值、计算不同存储页面特征量的最小值、不同存储页面特征量之间的线性运算、不同存储页面特征量之间的非线性运算、不同存储块特征量之间的线性运算、不同存储块特征量之间的非线性运算、计算不同存储块特征量的最大值和计算不同存储块特征量的最小值。
所述预测闪存寿命的人工神经网络的参数及结构为可修改的参数及结构,即对于同一个人工神经网络对多个闪存进行寿命预测时,其参数和结构可以经由算法或人为调整。
进一步的,所述的采集待预测闪存的特征量包括以下子步骤:
步骤4.1,记录当前状态下目标闪存所经历的编程/擦除周期次数;
步骤4.2,向闪存发送测试数据集合,对闪存执行写入数据操作,同时记录闪存编程时的电流、编程时的功耗、各个页面的编程时间以及编程时间对应的存储块号和存储页号;
步骤4.3,执行完数据写入操作之后,保持数据储存时间tr后,对闪存执行读数据操作,测量闪存的存储单元阈值电压分布、读取操作时的电流、读取操作时的功耗以及各个页面的读取时间,同时将读取数据操作中获得的数据与步骤4.2中的测试数据进行比较,计算错误比特数、错误率、条件错误页数及条件错误块数;记录错误比特数、错误率、条件错误页数、条件错误块数、阈值电压分布、读取时间、读取操作时的功耗以及读取操作时的电流;数据储存时间tr为0或正整数;
步骤4.4,执行完数据读取操作之后,对目标闪存执行擦除数据操作,采集闪存擦除操作时的电流、擦除操作时的功耗以及各个存储块的擦除时间,装置记录获取的擦除操作时的电流、擦除操作时的功耗、擦除时间以及擦除时间对应的存储块号和存储页号。
进一步的,所述步骤4.3还包括:
对特征量标记错误比特数、错误率、条件错误页数、条件错误块数、阈值电压分布、读取时间所对应的存储页号及存储块号。
进一步的,所述闪存存储单元阈值电压分布的获取方式为:对闪存执行READ-RETRY操作所需的命令集,使得闪存的读取数据操作参考电压产生偏移,然后对Read-Retry操作所得的数据进行数学分析与运算,获得对应的闪存存储单元阈值电压分布。
第二方面,本发明还提供一种用于闪存寿命预测的人工神经网络训练方法,包括:
步骤701,从同一制造工艺下相同类型不同批次的闪存产品集合中随机选取预定数量的闪存芯片作为样本闪存集合,并采集样本闪存的特征量,得到特征量集合;
步骤702,将所述特征量集合分为训练集、验证集和测试集;
步骤703,初始化人工神经网络,定义人工神经网络的输入输出个数,同时设置训练终止条件,并将训练集输入人工神经网络,计算人工神经网络输出值和误差指标函数;
步骤704,调整连接权和阈值的取值;
步骤705,将验证集输入步骤704调整完参数的人工神经网络,计算误差指标函数的值,若验证集误差指标函数的值大于训练集误差指标函数的值则重复步骤步骤703和步骤704,直至验证集误差指标函数的值小于训练集误差指标函数的值;
步骤706,将测试集和训练集分别输入步骤705中调整完参数的人工神经网络,计算测试集对应的误差指标函数的值;
步骤707,检查测试集误差、训练集误差取值是否满足训练终止条件,若满足终止训练并保存人工神经网络;
步骤708,检查时间点取值是否满足训练终止条件,若满足终止训练并保存人工神经网络;不满足则时间点加一,返回步骤703。
应注意的是,所述预测闪存寿命的人工神经网络的参数及结构为可修改的参数及结构,即对于同一个人工神经网络对多个闪存进行寿命预测时,其参数和结构可以经由算法或人为调整。同时预测用的人工神经网络可以由任意方式获得。
第三方面,本发明还提供一种基于人工神经网络的闪存寿命预测系统,包括:
数据采集装置,采集待预测闪存的特征量;
数据运算模块,对所述特征量中的一种或几种进行运算操作,得到运算处理值;
寿命预测模块,将所述特征量及所述运算处理值构成集合,取集合中的子集作为人工神经网络的输入,运行人工神经网络,由人工神经网络计算得到特征量对应的闪存的寿命预测值。
进一步的,所述数据采集装置包括:处理器、闪存控制器、电流采集模块、功率测量模块、AD转换模块、操作时间采集模块、阈值电压分布统计模块、数据存储模块。
第四方面,本发明还提供一种计算机可读存储介质,用于存储非暂时性计算机可读指令,当所述非暂时性计算机可读指令由计算机执行时可以执行上述的基于人工神经网络的闪存寿命预测方法。。
本发明的有益效果是:
1.本发明以目前计算机领域前沿的人工神经网络技术为基础提出一种闪存寿命预测方法,与目前的技术相比,该方法的先进性在于具有学习数据间非线性关系的能力,能够更加有效地提取闪存参数的变化特征。2.本发明将多种可靠性参数作为人工神经网络的输入,与仅以一种参数为依据的寿命预测模型相比预测寿命值的准确度更高。3.本发明提出的基于人工神经网络的闪存寿命预测方法中的人工神经网络具有动态调整参数的能力,能够通过输入新的数据或改变训练方法等方式优化自身的预测能力,与一般预测方法相比灵活度更高。
附图说明
图1为本发明实施例一种人工神经网络的闪存寿命预测方法的流程示意图。
图2为本发明实施例一种数据采集装置的结构图。
图3为本发明实施例数据采集装置测量并保存数据的流程示意图。
图4为本发明实施例一种用于闪存寿命预测的人工神经网络训练流程。
图5为本发明实施例中采用的人工神经网络结构示例图。
图6为本发明实施例中样本闪存的可靠性测试流程图。
具体实施方式
以下结合附图对本发明的原理和特征进行描述,所举实例只用于解释本发明,并非用于限定本发明的范围。
图1为本发明预测闪存寿命的流程示意图,图中所示闪存寿命预测流程适用于所有闪存类型,下面以一种的闪存产品为实施例对图1进行详细的解释说明。
本实施例中,将某制造工艺下的3D多级单元NAND闪存(MLC NAND flash)产品作为测量对象和寿命预测对象。
如图1所示,一种人工神经网络的闪存寿命预测方法包括以下步骤:
步骤S01,由数据采集装置测量预测目标闪存寿命所需的特征量数据,获取的数据由数据采集装置保存在存储空间。所述闪存特征量包括但不限于:闪存的编程时间、读取时间、擦除时间、电流、芯片功耗、阈值电压分布、存储块编号、存储页号、闪存当前经历过的编程/擦除周期数、条件错误页数、条件错误块数、错误比特数和错误率。
步骤S01中,数据采集装置的结构如图2所示,装置中各个模块的功能为:
处理器:装置中处理器负责对各个模块发送命令,控制模块执行数据采集操作;从模块获取数据,对数据进行运算处理,如:计算错误比特数、错误率等。
闪存控制器:闪存控制器负责对闪存执行编程、读取和擦除操作并将操作时间采集模块、阈值电压分布统计模块及处理器所需的数据信息传递给相应的模块。
电流采集模块:电流采集模块负责采样闪存的电流,将采样的模拟信号传输给AD转换模块。
功率测量模块:功率测量模块负责闪存的功率,将功率信息传输给处理器。
AD转换模块:AD转换模块负责将模拟信号转换成数据信号发送给处理器和数据存储模块。
操作时间采集模块:操作时间采集模块负责读取闪存控制器传递来的时钟信号信息并转换为操作时间数据发送给数据存储模块。
阈值电压分布统计模块:阈值电压分布统计模块负责接收闪存控制器在进行READ-RETRY操作后读取的数据转换为阈值电压分布数据发送给数据存储模块。
数据存储模块:数据存储模块负责存储采集的数据。
上述数据采集装置中的处理器为ARM处理器,闪存控制器、操作时间采集模块及阈值电压分布统计模块通过FPGA实现,电流电压采集模块通过MAX44284电流检测放大器实现,功率测量模块通过ADE7755芯片实现,AD转换模块通过ADC芯片实现,数据存储模块通过DRAM存储器实现。
步骤S01的实施流程如图3所示,装置采集并保存数据的具体操作为:
(1)数据采集装置记录当前状态下目标闪存所经历的编程/擦除周期次数。
(2)闪存控制器对闪存执行写入数据操作,数据存储模块保存写入数据。
(3)操作时间采集模块统计闪存各个页面的编程时间,处理器对编程时间标记对应的存储块号及存储页号后,发送给数据存储模块;电流采集模块采集编程时的电流,AD转换模块将模拟信号转换成数字信号,并发送给数据存储模块;功率测量模块测量闪存功率,功率信息由处理器运算处理后发送给数据存储模块;数据存储模块保存从各个模块接收到的数据。
(4)执行完数据写入操作之后,不保持数据存储或保持数据储存时间tr后,闪存控制器对闪存执行读数据操作。
(5)装置中的阈值电压分布统计模块采集闪存的存储单元阈值电压分布,将阈值电压分布信息发送给数据存储模块;操作时间采集模块统计闪存各个页面的读取时间,处理器对读取时间标记对应的存储块号及存储页号后,发送给数据存储模块;电流采集模块采集读操作时的电流,AD转换模块将模拟信号转换成数字信号,并发送给数据存储模块;功率测量模块测量闪存功率,功率信息由处理器运算处理后发送给数据存储模块;处理器将读取的数据与编程数据相比较,计算错误率、错误比特数等特征量,同时对数据标记对应的存储块号及存储页号后,将数据发送给数据存储模块;数据存储模块保存数据。
(6)执行完数据读取操作之后,闪存控制器对目标闪存执行擦除数据操作。
(7)操作时间采集模块统计闪存各个页面的擦除时间,处理器对擦除时间标记对应的存储块号及存储页号后,发送给数据存储模块;电流采集模块采集擦除数据时的电流,AD转换模块将模拟信号转换成数字信号,并发送给数据存储模块;功率测量模块测量闪存功率,功率信息由处理器运算处理后发送给数据存储模块;数据存储模块保存数据。
步骤S02,对测量得到的特征量中的一种特征量或几种特征量进行数学运算,保存特征量数学运算结果。本实施例中选取编程时间、错误比特数进行运算。本实施例步骤S02对上述特征量的运算操作为:计算闪存存储块中所有页面编程时间的平均值,记为PTe;闪存存储块中不同页面错误比特数的方差,记为ERv;闪存存储块中不同页面错误比特数之和的自然对数,记为ESl。
步骤S03,将步骤S01中测量得到的特征量及步骤S02中特征量的运算结果构成集合,取集合中的子集作为人工神经网络的输入。本实施例中选取步骤S01测量得到的特征量擦除时间、读取时间与步骤S02中运算得到的页面编程时间的平均值、页面错误比特数的方差、页面错误比特数之和的自然对数。其中,擦除时间记为ET,读取时间记为RT。
步骤S04,将数据ET、RT、PTe、ERv和ESl带入人工神经网络,运行人工神经网络,由人工神经网络计算闪存的寿命预测值。步骤S04中人工神经网络的生成步骤如下:
(1)初始化人工神经网络,令时间点(Epoch)等于1。定义人工神经网络的输入输出个数,同时设置训练终止条件。本实施例中的人工神经网络输入个数为5,输出个数为1;训练终止条件为训练误差与测试误差均小于1或时间点大于1000。本实施例中采用的初始化人工神经网络为:激活函数为sigmod函数,隐藏层(hidden layer)数为100,连接权和阈值在(0,1)范围内随机初始化的前馈人工神经网络。Sigmod函数的表达式为:人工神经网络的结构如图5所示。
(2)将数据集合分为训练集、验证集和测试集,本实施例中采用随机划分的方式,训练集为整个数据集合的50%,验证集为整个数据集合的25%,测试集为整个数据集合的25%。
(3)将训练集输入人工神经网络,计算人工神经网络输出值和误差指标函数。本实施例中误差指标函数为均方误差(MSE),其函数表达式为:
其中,n为训练样本总数;Yobs,i为实际值;Ymodel,i为人工神经输出值。
(4)Levenberg-Marquardt算法调整连接权和阈值的取值。
(5)验证集输入步骤(4)中调整完参数的人工神经网络,计算误差指标函数的值,若验证集误差指标函数的值大于训练集误差指标函数的值,则重复步骤(3)和步骤(4)。
(6)测试集和训练集分别输入步骤(5)中调整完参数的人工神经网络,计算测试集对应的误差指标函数的值。
(7)检查测试集误差、训练集误差取值是否满足训练终止条件,若满足终止训练并保存人工神经网络。
(8)检查时间点取值是否满足训练终止条件,若满足终止训练并保存人工神经网络;不满足则时间点加一,返回步骤(3)。
本实施例中,步骤(2)中的数据集合为:步骤S01中闪存同批次的闪存样本经过可靠性测试后获得的特征量测试数据,测试数据经过运算操作后的数据和特征量测试数据对应的样本闪存剩余寿命值组成的集合;其中,运算操作与步骤S02中所述运算操作相同。样本闪存剩余寿命值的计算方法为样本闪存可靠性测试后经历的最大编程/擦除周期数减去测量特征量时样本闪存经历的编程/擦除周期数。特征量包括编程时间、读取时间、擦除时间和错误比特数。样本闪存可靠性测试流程如图6所示,具体步骤为:
(1)从闪存集合中随机抽取样本芯片,将样本闪存与数据采集装置连接。
(2)向样本闪存发送测试数据集合,对样本闪存执行写入数据操作,数据采集装置记录样本闪存的编程时间。本实施例中的测试数据集合为随机数集合。
(3)发送完测试数据后,数据采集装置对样本闪存执行读数据操作并记录读取操作时间,装置将读出数据与发送的测试数据进行比较,数据采集装置计算并保存错误比特数。
(4)保存完错误比特数后,数据采集装置对样本闪存执行擦除数据操作,并记录擦除操作时间。
(5)记录当前样本闪存经历的编程/擦除操作周期数。
(6)重复步骤(2)到步骤(5),直到样本闪存到达寿命极限;数据采集装置统计样本闪存的总编程/擦除操作周期数。
步骤S05,人工神经网络输出闪存寿命预测值。本实施例中,步骤S01到步骤S05的闪存预测操作可应用于闪存的整个使用寿命过程中,且每次步骤S01到步骤S05得到的特征量测量数据、特征量运算结果和预测值均可用于调整下一次预测闪存寿命所用的人工神经网络的参数。
以上所述仅为本发明的较佳实施例,并不用以限制本发明,凡在本发明的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。

Claims (9)

1.一种闪存寿命预测方法,其特征在于,
步骤1,采集待预测闪存的特征量;
步骤2,对所述特征量中的一种或几种进行运算操作,得到运算处理值;
步骤3,将所述特征量及所述运算处理值构成集合,取集合中的子集作为人工神经网络的输入,运行人工神经网络,由人工神经网络计算得到特征量对应的闪存的寿命预测值。
2.根据权利要求1所述一种闪存寿命预测方法,其特征在于,所述待预测闪存的物理量至少包括以下特征量中的一种或多种:闪存的编程时间、读取时间、擦除时间、电流、芯片功耗、阈值电压分布、存储块编号、存储页号、闪存当前经历过的编程/擦除周期数、条件错误页数、条件错误块数、错误比特数和错误率。
3.根据权利要求2所述一种闪存寿命预测方法,其特征在于,所述的对所述特征量中的一种或几种进行运算操作,至少包括以下运算方法中的一种或多种:
特征量的线性运算、特征量的非线性运算、不同特征量间的线性运算、不同特征量间的非线性运算、计算不同存储页面特征量的最大值、计算不同存储页面特征量的最小值、不同存储页面特征量之间的线性运算、不同存储页面特征量之间的非线性运算、不同存储块特征量之间的线性运算、不同存储块特征量之间的非线性运算、计算不同存储块特征量的最大值和计算不同存储块特征量的最小值。
4.根据权利要求2所述一种闪存寿命预测方法,其特征在于,所述的采集待预测闪存的特征量包括以下子步骤:
步骤4.1,记录当前状态下目标闪存所经历的编程/擦除周期次数;
步骤4.2,向闪存发送测试数据集合,对闪存执行写入数据操作,同时记录闪存编程时的电流、编程时的功耗、各个页面的编程时间以及编程时间对应的存储块号和存储页号;
步骤4.3,执行完数据写入操作之后,保持数据储存时间tr后,对闪存执行读数据操作,测量闪存的存储单元阈值电压分布、读取操作时的电流、读取操作时的功耗以及各个页面的读取时间,同时将读取数据操作中获得的数据与步骤4.2中的测试数据进行比较,计算错误比特数、错误率、条件错误页数及条件错误块数;记录错误比特数、错误率、条件错误页数、条件错误块数、阈值电压分布、读取时间、读取操作时的功耗以及读取操作时的电流;数据储存时间tr为0或正整数;
步骤4.4,执行完数据读取操作之后,对目标闪存执行擦除数据操作,采集闪存擦除操作时的电流、擦除操作时的功耗以及各个存储块的擦除时间,装置记录获取的擦除操作时的电流、擦除操作时的功耗、擦除时间以及擦除时间对应的存储块号和存储页号。
5.根据权利要求4所述一种闪存寿命预测方法,其特征在于,所述步骤4.3还包括:
对特征量标记错误比特数、错误率、条件错误页数、条件错误块数、阈值电压分布、读取时间所对应的存储页号及存储块号。
6.根据权利要求4或5所述一种闪存寿命预测方法,其特征在于,所述闪存存储单元阈值电压分布的获取方式为:对闪存执行READ-RETRY操作所需的命令集,使得闪存的读取数据操作参考电压产生偏移,然后对Read-Retry操作所得的数据进行数学分析与运算,获得对应的闪存存储单元阈值电压分布。
7.一种闪存寿命预测系统,其特征在于,包括:
数据采集装置,采集待预测闪存的特征量;
数据运算模块,对所述特征量中的一种或几种进行运算操作,得到运算处理值;
寿命预测模块,将所述特征量及所述运算处理值构成集合,取集合中的子集作为人工神经网络的输入,运行人工神经网络,由人工神经网络计算得到特征量对应的闪存的寿命预测值。
8.根据权利要求7所述一种闪存寿命预测系统,其特征在于,所述数据采集装置包括:处理器、闪存控制器、电流采集模块、功率测量模块、AD转换模块、操作时间采集模块、阈值电压分布统计模块、数据存储模块。
9.一种计算机可读存储介质,用于存储非暂时性计算机可读指令,当所述非暂时性计算机可读指令由计算机执行时可以执行根据权利要求1-6任一所述的基于人工神经网络的闪存寿命预测方法。
CN201811544535.XA 2018-12-17 2018-12-17 一种闪存寿命预测方法、系统、存储介质 Pending CN109830254A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201811544535.XA CN109830254A (zh) 2018-12-17 2018-12-17 一种闪存寿命预测方法、系统、存储介质

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201811544535.XA CN109830254A (zh) 2018-12-17 2018-12-17 一种闪存寿命预测方法、系统、存储介质

Publications (1)

Publication Number Publication Date
CN109830254A true CN109830254A (zh) 2019-05-31

Family

ID=66859566

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811544535.XA Pending CN109830254A (zh) 2018-12-17 2018-12-17 一种闪存寿命预测方法、系统、存储介质

Country Status (1)

Country Link
CN (1) CN109830254A (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110515798A (zh) * 2019-08-08 2019-11-29 吉林大学 基于sd卡带有性能寿命评估的存储系统及其存储方法
CN111276176A (zh) * 2020-02-11 2020-06-12 上海威固信息技术股份有限公司 一种三维堆叠闪存单元阈值电压分布模型构建方法
CN112817525A (zh) * 2021-01-19 2021-05-18 置富科技(深圳)股份有限公司 闪存芯片可靠性等级预测方法、装置及存储介质
CN112817523A (zh) * 2021-01-19 2021-05-18 置富科技(深圳)股份有限公司 存储介质可靠性等级判断方法及系统、存储介质、设备
CN112817524A (zh) * 2021-01-19 2021-05-18 置富科技(深圳)股份有限公司 基于动态神经网络的闪存可靠性等级在线预测方法和装置
CN112908391A (zh) * 2021-02-08 2021-06-04 置富科技(深圳)股份有限公司 一种基于数学模型的闪存分类方法及装置
CN112908399A (zh) * 2021-02-05 2021-06-04 置富科技(深圳)股份有限公司 闪存的异常检测方法、装置、计算机设备及存储介质
CN114664371A (zh) * 2022-04-14 2022-06-24 上海威固信息技术股份有限公司 一种闪存测试方法、可编程逻辑器件、存储介质和系统
WO2022213410A1 (zh) * 2021-04-08 2022-10-13 置富科技(深圳)股份有限公司 一种闪存的有效性预测方法、装置及存储介质
US11500753B2 (en) 2020-09-02 2022-11-15 Samsung Electronics Co., Ltd. Multi-non-volatile memory solid state drive block-level failure prediction with unified device log
US11567670B2 (en) 2019-10-25 2023-01-31 Samsung Electronics Co., Ltd. Firmware-based SSD block failure prediction and avoidance scheme
CN116391229A (zh) * 2020-11-20 2023-07-04 微芯片技术股份有限公司 用于确定闪存存储器设备的实际磨损何时不同于针对闪存存储器设备的可靠性状态的方法和装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160306570A1 (en) * 2014-08-04 2016-10-20 Conor Maurice Ryan Offline Characterization for Adaptive Flash Tuning
CN107967928A (zh) * 2017-10-18 2018-04-27 武汉忆数存储技术有限公司 一种基于数学模型的闪存芯片寿命预测方法
CN108228371A (zh) * 2016-12-15 2018-06-29 发那科株式会社 机器学习装置和方法、寿命预测装置、数值控制装置
CN108415819A (zh) * 2018-03-15 2018-08-17 中国人民解放军国防科技大学 一种硬盘故障追踪方法和装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160306570A1 (en) * 2014-08-04 2016-10-20 Conor Maurice Ryan Offline Characterization for Adaptive Flash Tuning
US20170133107A1 (en) * 2014-08-04 2017-05-11 Conor Maurice Ryan Preventive Measures for Adaptive Flash Tuning
CN108228371A (zh) * 2016-12-15 2018-06-29 发那科株式会社 机器学习装置和方法、寿命预测装置、数值控制装置
CN107967928A (zh) * 2017-10-18 2018-04-27 武汉忆数存储技术有限公司 一种基于数学模型的闪存芯片寿命预测方法
CN108415819A (zh) * 2018-03-15 2018-08-17 中国人民解放军国防科技大学 一种硬盘故障追踪方法和装置

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
苗学问等: "《航空器预测与健康管理》", 31 August 2015 *

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110515798A (zh) * 2019-08-08 2019-11-29 吉林大学 基于sd卡带有性能寿命评估的存储系统及其存储方法
CN110515798B (zh) * 2019-08-08 2021-06-04 吉林大学 基于sd卡带有性能寿命评估的存储系统及其存储方法
US11567670B2 (en) 2019-10-25 2023-01-31 Samsung Electronics Co., Ltd. Firmware-based SSD block failure prediction and avoidance scheme
CN111276176A (zh) * 2020-02-11 2020-06-12 上海威固信息技术股份有限公司 一种三维堆叠闪存单元阈值电压分布模型构建方法
US11500752B2 (en) 2020-09-02 2022-11-15 Samsung Electronics Co., Ltd. Multi-non-volatile memory solid state drive block-level failure prediction with separate log per non-volatile memory
US11500753B2 (en) 2020-09-02 2022-11-15 Samsung Electronics Co., Ltd. Multi-non-volatile memory solid state drive block-level failure prediction with unified device log
CN116391229A (zh) * 2020-11-20 2023-07-04 微芯片技术股份有限公司 用于确定闪存存储器设备的实际磨损何时不同于针对闪存存储器设备的可靠性状态的方法和装置
CN112817523B (zh) * 2021-01-19 2021-09-07 置富科技(深圳)股份有限公司 存储介质可靠性等级判断方法及系统、存储介质、设备
WO2022156064A1 (zh) * 2021-01-19 2022-07-28 置富科技(深圳)股份有限公司 闪存芯片可靠性等级预测方法、装置及存储介质
CN112817524A (zh) * 2021-01-19 2021-05-18 置富科技(深圳)股份有限公司 基于动态神经网络的闪存可靠性等级在线预测方法和装置
CN112817523A (zh) * 2021-01-19 2021-05-18 置富科技(深圳)股份有限公司 存储介质可靠性等级判断方法及系统、存储介质、设备
CN112817525A (zh) * 2021-01-19 2021-05-18 置富科技(深圳)股份有限公司 闪存芯片可靠性等级预测方法、装置及存储介质
CN112908399A (zh) * 2021-02-05 2021-06-04 置富科技(深圳)股份有限公司 闪存的异常检测方法、装置、计算机设备及存储介质
WO2022165955A1 (zh) * 2021-02-05 2022-08-11 置富科技(深圳)股份有限公司 闪存的异常检测方法、装置、计算机设备及存储介质
CN112908391B (zh) * 2021-02-08 2022-04-12 置富科技(深圳)股份有限公司 一种基于数学模型的闪存分类方法及装置
CN112908391A (zh) * 2021-02-08 2021-06-04 置富科技(深圳)股份有限公司 一种基于数学模型的闪存分类方法及装置
WO2022213410A1 (zh) * 2021-04-08 2022-10-13 置富科技(深圳)股份有限公司 一种闪存的有效性预测方法、装置及存储介质
CN114664371A (zh) * 2022-04-14 2022-06-24 上海威固信息技术股份有限公司 一种闪存测试方法、可编程逻辑器件、存储介质和系统

Similar Documents

Publication Publication Date Title
CN109830254A (zh) 一种闪存寿命预测方法、系统、存储介质
CN109637576A (zh) 一种基于支持向量回归的闪存寿命预测方法
CN107967928B (zh) 一种基于数学模型的闪存芯片寿命预测方法
CN108766496B (zh) 一种在线动态预测闪存芯片寿命的方法及装置
CN109817267B (zh) 一种基于深度学习的闪存寿命预测方法、系统及计算机可读存取介质
CN108831517A (zh) 一种基于操作时间或电流判断闪存芯片可靠性的方法及测试装置
CN109830255A (zh) 一种基于特征量的闪存寿命预测方法、系统及存储介质
CN111190111A (zh) 电化学储能电池荷电状态估算方法、装置及系统
CN107729660A (zh) 一种结合仿真的继电器类单机贮存可靠性评估方法
CN112380773A (zh) 一种基于迁移学习的燃料电池退化预测方法、装置、设备
CN113486420B (zh) 水库大坝岸坡稳定性监测方法、装置、设备及介质
KR20220096993A (ko) 배터리 노화 상태 추정 방법 및 이를 수행하는 장치
CN110728008B (zh) 一种用于确定智能电表的期望寿命的方法及装置
CN115248757A (zh) 一种硬盘健康评估方法和存储设备
CN117054892B (zh) 一种储能电站电池健康状态的评估方法、装置及管理方法
CN109871594A (zh) 一种NAND Flash特性模型建立方法
CN109815534B (zh) 一种基于决策树算法的闪存寿命预测方法及系统
CN112684973A (zh) 具有固态储存器的服务器系统及其相关控制方法
CN114167837B (zh) 一种铁路信号系统的智能故障诊断方法及系统
CN112886579B (zh) 考虑pmu和scada量测时标不匹配的配电网线路参数辨识方法
TW202011407A (zh) 儲存裝置及其nand快閃記憶體控制器
CN110580932B (zh) 一种应用于损耗均衡的存储单元质量度量方法
CN115981911A (zh) 内存故障的预测方法、电子设备和计算机可读存储介质
CN113269356A (zh) 一种面向缺失数据的设备剩余寿命预测方法及系统
CN114646891B (zh) 一种结合lstm网络和维纳过程的剩余寿命预测方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20190531