CN112750909A - 半导体装置的形成方法 - Google Patents

半导体装置的形成方法 Download PDF

Info

Publication number
CN112750909A
CN112750909A CN202011104805.2A CN202011104805A CN112750909A CN 112750909 A CN112750909 A CN 112750909A CN 202011104805 A CN202011104805 A CN 202011104805A CN 112750909 A CN112750909 A CN 112750909A
Authority
CN
China
Prior art keywords
layer
width
gate
fin
stack
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202011104805.2A
Other languages
English (en)
Inventor
朱熙甯
陈冠霖
江国诚
王志豪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN112750909A publication Critical patent/CN112750909A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0676Nanowires or nanotubes oriented perpendicular or at an angle to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

此处公开半导体装置与半导体装置的形成方法,更特别关于含有全绕式栅极晶体管结构的半导体装置与其制造方法。此处所述的方法可将复合形状(如L形)蚀刻成多层堆叠,以形成全绕式栅极纳米结构晶体管结构的主动区所用的鳍状物。在一些实施例中,主动区可具有第一通道宽度与第一宽度的第一源极/漏极区,以及第二通道宽度与小于第一宽度的第二宽度的第二源极/漏极区。

Description

半导体装置的形成方法
技术领域
本公开实施例关于整合水平全绕式栅极纳米结构,以用于3nm技术节点或更小的集成电路设计与操作。
背景技术
半导体装置已用于多种电子应用,比如个人电脑、手机、数码相机、与其他电子设备。半导体装置的制作方法通常为按序沉积绝缘或介电层、导电层、与半导体层的材料于半导体基板上,并采用微影图案化多种材料层以形成电路构件与单元于半导体基板上。
半导体产业持续减少最小结构尺寸以改善多种电子构件(如晶体管、二极管、电阻、电容、或类似物)的密度,以整合更多构件至给定面积中。然而随着最小结构尺寸减少,产生需解决的额外问题。
发明内容
在一实施例中,半导体装置的形成方法,包括:沉积第一片状物于半导体基板上;沉积第一半导体材料于第一片状物上;沉积第二片状物于第一半导体材料上;沉积第二半导体材料于第二片状物上;图案化第一片状物、第一半导体材料、第二片状物、与第二半导体材料成鳍状物,鳍状物具有第一宽度与第二宽度,且第一宽度大于第二宽度;移除第一片状物与第二片状物,以自第一半导体材料形成第一纳米结构,并自第二半导体材料形成第二纳米结构;沉积栅极介电层以围绕第一纳米结构与第二纳米结构;以及沉积栅极于栅极介电层周围。
在一实施例中,半导体装置的形成方法包括:形成多层堆叠于半导体基板上,多层堆叠包括第一材料的第一层与第二材料的第二层,且第一材料与第二材料不同;蚀刻图案至多层堆叠中,多层堆叠的其余部分包括主动区,主动区分隔保留的多层堆叠的第一侧与保留的多层堆叠的第二侧,第一侧具有第一宽度且第二侧具有第二宽度,且第一宽度与第二宽度不同;进行线路释放工艺,以移除第一层并形成纳米结构的堆叠,而纳米结构的堆叠在第一侧具有第一宽度且在第二侧具有第二宽度;沉积栅极介电层于纳米结构的堆叠周围;以及沉积栅极以围绕纳米结构的堆叠中的每一纳米结构。
在一实施例中,半导体装置包括:栅极介电层,位于基板上;栅极,位于栅极介电层周围;以及纳米结构的垂直堆叠,纳米结构的垂直堆叠的每一纳米结构包括栅极介电层所围绕的主动区,其中每一主动区在栅极介电层的第一侧上具有第一通道宽度且在栅极介电层的第二侧上具有第二通道宽度,而第一通道宽度大于第二通道宽度。
附图说明
图1至12是一些实施例中,形成全绕式主动装置的中间步骤的附图。
图13A是一实施例中,全绕式栅极装置的透视图。
图13B是一实施例中,图13A所示的全绕式栅极装置的剖面的上视图。
图14A与14B是其他实施例中,全绕式栅极装置的剖面的上视图。
图15A至15E显示一些实施例中,含有多层主动装置阵列的多种单元方案的上视图。
附图标记说明:
A-A:剖线
L1:第一长度
OS-L向左偏离
OS-R:向右偏离
SDH1:第一源极/漏极高度
Th1:第一厚度
Th2:第二厚度
Th3:第三厚度
Th4:第四厚度
Th5:第五厚度
Th6:第六厚度
Wdg:虚置栅极宽度
Wf1:第一鳍状物宽度
Wf2:第二鳍状物宽度
W1:第一宽度
W2:第二宽度
W3:第三宽度
W4:第四宽度
W5:第五宽度
W6:第六宽度
W7:第七宽度
W8:第八宽度
W9:第九宽度
W10:第十宽度
W11:第十一宽度
W12:第十二宽度
W13:第十三宽度
W14:第十四宽度
72:虚置栅极
87:接点蚀刻停止层
101:基板
106:第一装置区
119:虚置栅极介电层
121:虚置栅极
122:鳍状物
123:第三硬遮罩
125:第四硬遮罩
129:虚置栅极堆叠
130:顺应性介电层
131:栅极间隔物
133:沟槽
135:浅沟槽隔离区
200,1501:多层主动装置
203:多层堆叠
205:第一硬遮罩
207:第二硬遮罩
250:沉积工艺
251:第一层
261:第二层
301:主动区
303:角落
350:图案化工艺
501:第一内侧间隔物
601:源极/漏极区
701:层间介电层
901:纳米结构
1033,1511:开口
1101:栅极介电层
1103:栅极
1300:全绕式栅极晶体管
1500:第一单元方案
1510:第二单元方案
1520:第三单元方案
1522:第一鳍状物
1523:第二鳍状物
1525:第三鳍状物
1527:第四鳍状物
1529:第五鳍状物
1530:第四单元方案
1531:第六鳍状物
1533:第七鳍状物
1535:第八鳍状物
1537:第九鳍状物
1539:第十鳍状物
1540:第五单元方案
具体实施方式
下述内容提供的不同实施例或实例可实施本公开的不同结构。特定构件与配置的实施例用以简化本公开内容而非局限本公开。举例来说,形成第一构件于第二构件上的叙述包含两者直接接触的实施例,或两者之间隔有其他额外构件而非直接接触的实施例。此外,本公开的多个实例可重复采用相同标号以求简洁,但多种实施例及/或设置中具有相同标号的元件并不必然具有相同的对应关系。
此外,空间性的相对用语如“下方”、“其下”、“较下方”、“上方”、“较上方”、或类似用语可用于简化说明某一元件与另一元件在图示中的相对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于图示方向。元件亦可转动90°或其他角度,因此方向性用语仅用以说明图示中的方向。
此处说明的实施例关于整合水平全绕式栅极纳米结构,以用于3nm技术节点或更小的集成电路设计与操作。这些实施例可形成复合形状(如L形)于全绕式栅极纳米结构晶体管的主动区中。在此处所述的实施例中,全绕式栅极纳米结构晶体管可具有第一通道宽度与第一宽度的第一源极/漏极区,以及第二通道宽度与第二宽度(小于第一宽度)的第二源极/漏极区。如此一来,全绕式栅极纳米结构晶体管可具有复合主动区(如L形),其于操作时可节能,并提供多种单元设置可用的设计弹性。然而实施例可用于多种方式,而不局限于此处所述的实施例。
图1是一些实施例制造全绕式栅极晶体管的中间阶段中,在基板101上进行沉积工艺250以形成多层主动装置200的透视图。在一实施例中,基板101为半导体基板如硅基板、硅锗基板、锗基板、III-V族材料基板(比如砷化镓、磷化镓、磷砷化镓、砷化铝铟、砷化铝镓、砷化镓铟、砷化铟、磷化镓铟、磷化铟、锑化铟、磷砷化镓铟、或上述的组合)、或其他半导体材料如高能带至能带穿隧的半导体材料所形成的基板。基板101可掺杂或不掺杂。在一些实施例中,基板101可为基体半导体基板如基体硅基板(晶圆)、绝缘层上半导体基板、多层或渐变基板、或类似物。基板101可布植掺质以形成主动装置所用的井区。
图1所示的基板101可包含形成n型装置如n型金属氧化物半导体晶体管(例如n型全绕式栅极晶体管)所用的第一装置区106,但第一装置区106亦可用于形成p型装置如p型金金属氧化物半导体晶体管(例如p型全绕式栅极晶体管)。虽然图1只显示单一装置区,但应理解第一装置区106仅用于例示半导体晶圆中的单一区域,且半导体晶圆可包含许多装置区。可形成许多装置区如第一装置区106及/或与第一装置区106不同的多个其他装置区。
如图1所示,进行一系列的沉积以形成交错的第一层251与第二层261的多层堆叠203于基板101上。在一些实施例中,沉积工艺250包括形成第一晶格常数的半导体层作为第一层251,比如硅锗、锗、硅、砷化镓、锑化铟、锑化镓、砷化铝铟、砷化铟镓、磷化镓锑、砷化镓锑、上述的组合、或类似物。在一些实施例中,外延成长第一层251于基板101上的方法可采用沉积技术如外延成长、气相外延、或分子束外延,但亦可采用其他沉积工艺如化学气相沉积、低压化学气相沉积、原子层化学气相沉积、超高真空化学气相沉积、远端等离子体化学气相沉积、上述的组合、或类似工艺。在一些实施例中,第一层251具有第一厚度Th1,其介于约4nm至约15nm之间,比如约10nm。然而任何合适厚度仍属于实施例的范围。
一旦形成第一层251于基板101上,则可形成第二层261于第一层251上。在一些实施例中,第二层261可为晶格常数不同于第一层251的第二半导体材料如硅、硅锗、锗、砷化镓、锑化铟、锑化镓、砷化铝铟、磷化镓锑、砷化镓锑、上述的组合、或类似物。在具体实施例中,第一层251为硅锗,而第二层261为不同晶格常数的材料如硅。然而可采用任何合适的材料组合。
在一些实施例中,外延成长第二层261于第一层251上的方法可采用沉积技术如外延成长、气相外延、或分子束外延,但亦可采用其他沉积工艺如化学气相沉积、低压化学气相沉积、原子层化学气相沉积、超高真空化学气相沉积、远端等离子体化学气相沉积、上述的组合、或类似工艺。第二层261具有第一厚度Th2,其介于约4nm至约10nm之间,比如约7nm。然而任何合适厚度仍属于实施例的范畴。
一旦形成第二层261于第一层251上,即可重复沉积工艺250以形成一系列第一层251与第二层261交错的其余材料层,直到形成多层堆叠203所需的最顶层。在具体实施例中,另一第一层251具有第三厚度Th3,另一第二层261具有第四厚度Th4,另一第一层251具有第五厚度Th5,且另一第二层261具有第六厚度Th6。此外,第二层261之间的片状空间实质上等于第一层251的厚度(如第一厚度Th1、第三厚度Th3、与第五厚度Th5)。在此实施例中,多层堆叠203的最顶层为第二层261。然而在其他实施例中,多层堆叠203的最顶层可为第一层251。此外,虽然此处所述的实施例包括三个第一层251与三个第二层261,多层堆叠203可聚有任何数目的层状物(如纳米片)。举例来说,多层堆叠203可包含多个纳米片,比如2至10个纳米片。在一些实施例中,多层堆叠203可包含相同数目的第一层251与第二层261。然而在其他实施例中,第一层251的数目可不同于第二层261的数目。
在一些实施例中,多层堆叠203的第一层251可具有实质上相同的厚度(比如第一厚度Th1、第三厚度Th3、与第五厚度Th5大致相同),且多层堆叠203的第二层261可具有实质上相同的厚度(比如第二厚度Th2、第四厚度Th4、与第六厚度Th6大致相同)。然而第一层251的厚度可彼此不同,及/或第二层261的厚度可彼此不同。可采用任何合适厚度。
如图2所示的一些实施例,是制造全绕式栅极晶体管的中间阶段中,多层主动装置200的图案化工艺350。图案化工艺可采用氧化物定义步骤,已将所需形状(如L形图案)转移至多层堆叠203与基板101中。
在一些实施例中,一旦形成多层堆叠203于基板101上,可图案化多层堆叠203与基板101至所需形状(如L形)。图案化工艺可先形成第一硬遮罩205,与第一硬遮罩205上的第二硬遮罩207。第一硬遮罩205包括介电材料如氧化硅、氮化硅、氮化钛、氮氧化硅、上述的组合、或类似物。第一硬遮罩205的形成工艺可为化学气相沉积、等离子体辅助化学气相沉积、原子层沉积、或类似工艺。然而可采用任何其他合适材料与形成方法。第一硬遮罩205的厚度可介于约
Figure BDA0002726593500000081
至约
Figure BDA0002726593500000082
之间,比如约
Figure BDA0002726593500000083
第二硬遮罩207包括不同的介电材料如氮化硅、氧化硅、氮化钛、氮氧化硅、上述的组合、或类似物。第二硬遮罩207的形成工艺可为化学气相沉积、等离子体辅助化学气相沉积、原子层沉积、或类似工艺。然而可采用任何其他合适的材料与形成方法。第二硬遮罩207的厚度可介于约
Figure BDA0002726593500000084
至约
Figure BDA0002726593500000085
之间,比如约
Figure BDA0002726593500000086
一旦形成第一硬遮罩205与第二硬遮罩207,即可图案化第一硬遮罩205与第二硬遮罩207。在一实施例中,第一硬遮罩205与第二硬遮罩207的图案化方法可先将光阻(未图示)置于第二硬遮罩上,并以图案化能量源(如光,例如极紫外线)曝光光阻以起始化学反应,其可调整第一光阻的曝光部分的物理性质。接着施加第一显影剂(未图示),可显影第一光阻并采用曝光区与非曝光区之间的物理特性差异,以选择性移除曝光区或非曝光区。
一旦图案化光阻,可采用光阻作为遮罩以图案化下方的第二硬遮罩207与第一硬遮罩205。在一实施例中,图案化第二硬遮罩207与第一硬遮罩205的方法可采用光阻作为遮罩与一或多道反应性离子蚀刻工艺。可持续图案化工艺,直到露出第一硬遮罩205下的多层堆叠203。
一旦图案化第一硬遮罩205与第二硬遮罩207,即可自第二硬遮罩207移除光阻。在一实施例中,移除光阻的方法可采用灰化工艺,即升高光阻温度直到光阻热分解,并可采用一或多道清洁工艺轻易移除热分解的光阻。然而可采用任何其他合适的移除工艺。
氧化物定义步骤中采用第一硬遮罩205与第二硬遮罩207作为遮罩,且可进行一或多道蚀刻工艺如非等向蚀刻工艺(如反应性离子蚀刻),将遮罩图案(如L形图案)转移至下方层中以形成鳍状物122于多层堆叠203与基板101中。虽然此处的实施例将L形图案转移至多层堆叠203与基板101中以形成鳍状物122,但可采用任何合适形状的图案,如下详述。此外,氧化物定义步骤可采用任何合适的蚀刻工艺与任何合适数目的蚀刻工艺,以形成鳍状物122于多层堆叠203与基板101中。
此外,虽然形成鳍状物122的步骤说明仅为单一遮罩工艺,但此说明仅为例示性而非用于局限实施例。全绕式栅极晶体管结构的图案化方法可为任何合适方法,且可形成任何合适数目的鳍状物于多层堆叠203与基板101中。举例来说,可采用一或多道光微影工艺(包括双重图案化或多重图案化工艺),图案化结构以形成相同的L形或任何其他合适形状的图案的许多鳍状物122。一般而言,双重图案化或多重图案化工艺结合光微影与自对准工艺,其产生的图案间距小于采用单一的直接光微影工艺所得的图案间距。举例来说,一实施例形成牺牲层于基板上,并采用光微影工艺图案化牺牲层。可采用自对准工艺沿着图案化牺牲层的侧部形成间隔物。接着移除牺牲层,再采用保留的间隔物图案化鳍状物122于多层堆叠203与基板101中。
如图3所示,在形成鳍状物122之后,可形成浅沟槽隔离区135。在一实施例中,浅沟槽隔离区135的形成方法可为沉积介电材料如氧化物材料(如可流动的氧化物)、高密度等离子体的氧化物、或类似物。再视情况进行清洁与衬垫步骤之后可形成介电材料以填入或超填鳍状物122周围的区域,且其形成方法可采用化学气相沉积法(如高深宽比工艺)、高密度等离子体化学气相沉积法、或其他合适的方法。
一旦沉积即可由合适工艺移除浅沟槽隔离区135的多余介电材料,比如化学机械研磨、蚀刻、上述的组合、或类似工艺。在一实施例中,移除工艺可移除鳍状物122上的任何介电材料,并露出鳍状物122的表面以进行后续工艺步骤。
随着移除浅沟槽隔离区135的多余介电材料,接着可自鳍状物122的表面使介电材料凹陷,以形成浅沟槽隔离区135。可进行凹陷步骤,以露出与鳍状物122的上表面相邻的鳍状物122的侧壁的至少一部分。使浅沟槽隔离区135的介电材料凹陷的方法可采用湿蚀刻,比如将鳍状物122的上表面浸入对介电材料具有选择性的蚀刻剂。但亦可采用其他方法如反应性离子蚀刻、干蚀刻、化学氧化物移除、或干式化学清洁。在一些实施例中,介电材料可凹陷至低于第一层251的最底层,以露出一些基板101。
图3亦显示鳍状物122的主动区301,且鳍状物122在L形的角落303之间具有第一长度L1。在一些实施例中,第一长度L1可介于约8nm至约30nm之间,比如约10nm。然而可采用任何合适长度。
在主动区301的远端,鳍状物122具有不同的第一宽度W1与第二宽度W2。在一些实施例中,第二宽度W2小于第一宽度W1,但第二宽度W2亦可大于第一宽度W1。第一宽度W1可介于约8nm至约50nm之间,比如约30nm。第二宽度W2可介于约8nm至约50nm之间,比如约10nm。在一些实施例中,第一宽度W1与第二宽度W2之间的差距可介于约2nm至约16nm之间,比如约10nm。第一宽度W1与第二宽度W2的第一比例R1可介于约4:1至约1.5:1之间,比如约3:1。然而可采用任何合适的宽度与任何合适的比例。
如图4所示,形成虚置栅极堆叠129于主动区301上,以及鳍状物122中的L形的角落303之间。在一些实施例中,虚置栅极堆叠129包括虚置栅极介电层119、虚置栅极介电层119上的虚置栅极121、虚置栅极121上的第三硬遮罩123、与第三硬遮罩123上的第四硬遮罩125。图4亦显示虚置栅极堆叠129与主动区301之间的左侧界面具有第三宽度W3,且虚置栅极堆叠129与主动区301之间的右侧界面具有第四宽度W4。
在一实施例中,虚置栅极介电层119的形成方法可为热氧化、化学气相沉积、溅镀、或本技术领域已知用于形成栅极介电层的任何其他方法。虚置栅极介电层119在鳍状物122的顶部上的厚度,可与虚置栅极介电层119在鳍状物122的侧壁上的厚度不同,端视形成栅极介电层的技术而定。虚置栅极介电层119的材料可包含氧化硅或氮氧化硅,其厚度可为约
Figure BDA0002726593500000101
至约
Figure BDA0002726593500000102
比如约
Figure BDA0002726593500000103
在一实施例中,虚置栅极介电层119的形成方法可为先沉积牺牲层的材料如硅,以提供侧壁保护。一旦形成牺牲层,即可氧化或氮化并消耗牺牲层,以形成介电层如氧化硅或氮氧化硅。然而可采用任何合适工艺。
在其他实施例中,虚置栅极介电层119亦可为高介电常数(大于约5)的材料,比如氧化镧、氧化铝、氧化铪、氮氧化铪、氧化锆、或上述的组合,且其等效氧化物厚度可为约
Figure BDA0002726593500000104
至约
Figure BDA0002726593500000105
比如小于或等于约
Figure BDA0002726593500000106
此外,亦可采用氧化硅、氮氧化硅、及/或高介电常数材料的任何组合作为虚置栅极介电层119。
虚置栅极121可包含导电材料如多晶硅、钨、铝、铜、铝铜、钛、氮化钛铝、碳化钽、碳氮化钽、氮化钽硅、锰、锆、氮化钛、钽、氮化钽、钴、镍、上述的组合、或类似物。虚置栅极121的沉积方法可为化学气相沉积、溅镀沉积、或本技术领域已知用于沉积导电材料的其他技术。虚置栅极121的厚度可为约
Figure BDA0002726593500000111
至约
Figure BDA0002726593500000112
虚置栅极121可具有不平坦的上表面,且可在图案化虚置栅极121或蚀刻栅极之前平坦化虚置栅极121的上表面。此时可将离子导入虚置栅极121。举例来说,导入离子的方法可为离子布植技术。
一旦形成虚置栅极介电层119与虚置栅极121,即可图案化虚置栅极介电层119与虚置栅极121。在一实施例中,图案化步骤先形成第三硬遮罩123与第三硬遮罩123上的第四硬遮罩125。第三硬遮罩123与第四硬遮罩125的形成方法,可分别采用适于形成第一硬遮罩205与第二硬遮罩207的任何材料与工艺。在一些实施例中,第三硬遮罩123为氧化硅层,其厚度介于约
Figure BDA0002726593500000113
至约
Figure BDA0002726593500000114
之间,比如约
Figure BDA0002726593500000115
在一些实施例中,第四硬遮罩125可为氮化硅层,其厚度可介于约
Figure BDA0002726593500000116
至约
Figure BDA0002726593500000117
之间,比如约
Figure BDA0002726593500000118
然而可采用任何合适材料与厚度。
一旦形成第三硬遮罩123与第四硬遮罩125,可采用适于图案化第一硬遮罩205与第二硬遮罩207的任何材料(如光阻)与工艺(如曝光与显影光阻、反应性离子蚀刻、或类似工艺)图案化第四硬遮罩125,如上所述。可持续图案化工艺,直到露出第三硬遮罩123下的虚置栅极121。
一旦图案化第三硬遮罩123与第四硬遮罩125,则可自第三硬遮罩123与第四硬遮罩125移除光阻,且移除方法可采用灰化工艺。然而可采用任何其他合适的移除工艺。采用第三硬遮罩123与第四硬遮罩125作为遮罩,并采用非等向蚀刻工艺(如反应性离子蚀刻),可将第三硬遮罩123与第四硬遮罩125的图案转移至虚置栅极121与虚置栅极介电层119,以形成虚置栅极堆叠129于鳍状物122上。然而可采用任何合适工艺以将图案转移至介电层上,比如前述采用第一硬遮罩205与第二硬遮罩207的方法。
一旦形成虚置栅极堆叠129,则再露出虚置栅极堆叠129未保护的多层堆叠203的上表面与侧壁以及浅沟槽隔离区135的上表面。在一实施例中,虚置栅极堆叠129可覆盖具有虚置栅极宽度Wdg(小于第一长度L1)的多层堆叠203的一部分,且虚置栅极宽度Wdg介于约8nm至约16nm之间(比如约10nm)。如此一来,虚置栅极可与多层堆叠203形成左侧界面与右侧界面。在一些实施例中,主动区301在左侧界面的第三宽度W3可介于约28nm至约34nm之间(比如30nm),而主动区301在右侧界面的第四宽度W4可介于约8nm至约12nm之间(比如约10nm)。然而可采用任何合适宽度。
如图5所示的一些实施例,形成顺应性介电层130。在形成栅极1103所用的栅极间隔物131的初始步骤中,沉积顺应性介电层130于虚置栅极堆叠129、鳍状物122、与浅沟槽隔离区135上。如此一来,顺应性介电层130沿着虚置栅极介电层119、虚置栅极121、第三硬遮罩123、与第四硬遮罩125的侧壁,形成于虚置栅极堆叠129的两侧上。顺应性介电层130亦沿着第一层251与第二层261的侧壁形成于鳍状物122的两侧上,并形成于基板101的任何露出部分上。鳍状物122中L形的角落303与主动区301的部分位于顺应性介电层130之下,因此未图示于图5。
在一些实施例中,可毯覆性沉积顺应性介电层130于多层主动装置200上。顺应性介电层130可包含氧化硅、氮氧化硅、氮化硅、碳氧化硅、或碳氮氧化硅,但亦可采用任何合适材料如介电常数小于约4.0的低介电常数材料,甚至是气隙,或上述的组合。顺应性介电层130的形成方法可为任何合适方法,比如化学气相沉积、等离子体辅助化学气相沉积、溅镀、或任何其他合适方法。
图6显示蚀刻顺应性介电层130的水平表面,以形成栅极间隔物131于虚置栅极堆叠129上。在一实施例中,栅极间隔物131的形成方法可采用非等向蚀刻工艺如反应性离子蚀刻工艺。虽然说明中的栅极间隔物131可为单层如顺应性介电层130,但此仅为例示性而非局限本公开实施例。相反地,可采用任何数目的层状物以及沉积与移除工艺的任何组合,且所有的这些工艺完全属于实施例的范围中。一旦移除顺应性介电层130的水平表面,则再露出栅极间隔物131与虚置栅极堆叠129未保护的第四硬遮罩125、鳍状物122、与浅沟槽隔离区135的最顶部表面。
一旦形成栅极间隔物131,可蚀刻沟槽133穿过鳍状物122,其采用的蚀刻工艺可移除再虚置栅极堆叠129的两侧上露出且栅极间隔物131的侧壁不保护的鳍状物122的材料。在一些实施例中,可采用一或多道非等向蚀刻如反应性离子蚀刻,以蚀刻沟槽133穿过鳍状物122,且其尺寸可为鳍状物122被蚀刻的部分的尺寸。
在一些实施例中,第一沟槽形成于虚置栅极堆叠129的左侧,其自鳍状物122的露出侧壁的沟槽133的远端处具有第一宽度W1,并在鳍状物122的露出侧壁处具有第五宽度W5。第五宽度W5小于或等于第一宽度W1(例如介于约8nm至约50nm之间,比如约30nm),并大于第三宽度W3(例如介于约8nm至约50nm之间,比如约28nm)。然而可采用任何合适宽度。
在一些实施例中,第二沟槽形成于虚置栅极堆叠129的右侧,其自鳍状物122的露出侧壁的沟槽133的远端处具有第二宽度W2,并在鳍状物122的露出侧壁处具有第六宽度W6。第六宽度W6大于或等于第二宽度W2(例如介于约8nm至约50nm之间,比如约10nm)并小于第四宽度W4(例如介于约8nm至约50nm之间,比如约20nm)。然而可采用任何合适宽度。
如图7所示,形成第一内侧间隔物501于第一装置区106的第一层251(见图6)中。第一内侧间隔物501形成于第一装置区106中的第一层251的凹陷中。在一些实施例中,第一内侧间隔物501的形成方法为湿蚀刻以图案化凹陷,且湿蚀刻采用的蚀刻剂对第一层251的材料(如硅锗)具有选择性而不明显移除第二层261或基板101的材料(如硅)。举例来说,在第一层251为硅锗且第二层261为硅的实施例中,湿蚀刻采用的蚀刻剂可为氯化氢。
在一实施例中,湿蚀刻工艺可为浸入工艺、喷洒工艺、旋转工艺、或类似工艺。此外,湿蚀刻工艺的温度可介于约400℃至约600℃之间,且持续时间可介于约100秒至约1000秒之间(比如约300秒)。然而可采用任何合适的工艺条件与参数。可持续蚀刻工艺,使形成于每一第一层251中的具有晶面限制表面的凹陷长度介于约4nm至约8nm之间,比如约6nm。然而可采用任何合适长度。
然而湿蚀刻工艺并非可采用的唯一工艺。举例来说,另一实施例图案化第一层251的方法,可为等向干蚀刻工艺或者干蚀刻工艺与湿蚀刻工艺的组合。可采用任何合适工艺以图案化第一层251,且所有的这些工艺完全属于实施例的范围。
一旦形成凹陷于第一装置区106中的每一第一层251中,及可形成间隔物材料于第一装置区106上。在一些实施例中,间隔物与栅极间隔物131的材料可不同,且间隔物可为含硅的介电材料如氧化硅、氮氧化硅、氮化硅、碳氧化硅、或碳氮氧化硅,亦可采用任何合适材料如介电常数小于约4.0的低介电常数材料(甚至是气隙)或上述的组合。间隔物材料的沉积工艺可为化学气相沉积、物理气相沉积、或原子层沉积,以达约3nm至约10nm的厚度,比如约5nm。然而可采用任何合适的厚度或沉积工艺。
通过沉积间隔物材料于第一装置区106上,间隔物材料可衬垫沟槽133的侧壁并填入第一装置区106的第一层251中的凹陷。一旦间隔物材料填入凹陷,接着进行移除工艺以自第一装置区106中的沟槽133移除多余的间隔物材料,并保留第一内侧间隔物501于第一装置区106中。在一实施例中,移除多余的间隔物材料的方法可采用蚀刻工艺如非等向的干蚀刻工艺,比如反应性离子蚀刻工艺。然而可采用任何合适蚀刻工艺,以自沟槽133移除多余间隔物材料并保留第一内侧间隔物501与其他内侧间隔物。
如此一来,第一内侧间隔物501将采用第一凹陷的形状。此外,虽然所述实施例形成的第一内侧间隔物具有晶面形状,但此为例示性而非局限实施例。相反地,可采用任何形状,比如凹陷形状或凸起形状,甚至形成凹陷于第一内侧间隔物501中。这些形状完全属于实施例的范围。
如图8所示,形成源极/漏极区601于第一装置区106中。基于主动区301的右侧与左侧的不同宽度(比如第一宽度W1、第二宽度W2、第三宽度W3、第四宽度W4、第五宽度W5、与第六宽度W6),源极/漏极区601具有不平衡的宽度。举例来说,一些实施例中位于虚置栅极堆叠129左侧上的源极/漏极区601可具有第七宽度W7,位于虚置栅极堆叠129右侧上的源极/漏极区601可具有第八宽度W8,且第七宽度W7大于第八宽度W8。在一些实施例中,位于虚置栅极堆叠129左侧上的源极/漏极区601的第七宽度W7可介于约8nm至约50nm之间(比如约30nm),且位于虚置栅极堆叠129右侧上的源极/漏极区601的第八宽度W8可介于约8nm至约50nm之间(比如约10nm)。
在一实施例中,源极/漏极区601的形成方法可为先以光阻或其他遮罩材料保护其他装置区。一旦保护其他装置区,即可采用成长工艺如选择性外延工艺以形成装置所需的半导体材料,以形成源极/漏极区601。举例来说,一实施例采用源极/漏极区601形成n型金属氧化物半导体装置,且源极/漏极区601可为半导体材料如硅、磷化硅、碳磷化硅、上述的组合、或类似物。外延成长工艺可采用前驱物如硅烷、二氯硅烷、锗烷、或类似物,且可持续约5分钟至约120分钟(如约30分钟)。在一些实施例中,源极/漏极区601的第一源极/漏极高度SDH1介于约30nm至约90nm之间,比如约60nm。然而可采用任何合适高度及/或合适深度。
一旦形成源极/漏极区601,则布植合适掺质以补充掺质于第一装置区106的其余部分中,即可布植掺质至源极/漏极区601中。举例来说,可布植n型掺质如磷、碳、砷、硅、锑、类似钨、或上述的组合(如磷化硅、碳化硅、碳磷化硅、砷化硅、硅、锑、或类似物)以形成n型金属氧化物半导体装置。这些掺质的布植方法可采用虚置栅极堆叠129与栅极间隔物131作为遮罩。
在另一实施例中,在成长源极/漏极区601时可掺杂源极/漏极区601的掺质。举例来说,在形成源极/漏极区601时可原位掺杂磷。可采用任何合适工艺以将掺质置入源极/漏极区,且所有的这些工艺完全包含于实施例的范畴中。此外,可进行退火工艺以活化源极/漏极区中的掺质。
在图9中,接点蚀刻停止层87沉积于图8所示的结构上。接点蚀刻停止层87可包括含硅、氮、与额外元素如碳的介电材料,比如碳氮化硅。在一些实施例中,接点蚀刻停止层87包括介电材料如氧化硅、氮化硅、任何其他合适的介电材料、或类似物。然而可采用任何合适材料。
可顺应性沉积接点蚀刻停止层87的介电材料(如碳氮化硅)于图8所示的结构的源极/漏极区601、第四硬遮罩125、与栅极间隔物131上。在一些实施例中,接点蚀刻停止层87的形成方法可采用化学气相沉积工艺,但亦可采用任何合适的沉积工艺。
图9亦显示层间介电层701沉积于接点蚀刻停止层87上。层间介电层701的组成可为介电材料,且其沉积方法可为任何合适方法如化学气相沉积、等离子体辅助化学气相沉积、或可流动的化学气相沉积。介电材料可包含磷硅酸盐玻璃、硼硅酸盐玻璃、硼磷硅酸盐玻璃、未掺杂的硅酸盐玻璃、或类似物。亦可采用任何可接受的工艺所形成的其他绝缘材料。
如图9所示,可进行平坦化工艺如化学机械研磨,使层间介电层701的上表面与虚置栅极121、栅极间隔物131、与接点蚀刻停止层87的上表面齐平。如此一来,平坦化工艺亦移除第三硬遮罩123与第四硬遮罩125,并露出栅极间隔物131之间的虚置栅极121的上表面。在平坦化工艺之后,虚置栅极72、栅极间隔物131、与层间介电层701的上表面齐平。在一些实施例中,第三硬遮罩123(或第三硬遮罩123的一部分)可保留于需置栅极121的上表面上,且此例的平坦化工艺可使层间介电层701、栅极间隔物131与接点蚀刻停止层87的上表面与第三硬遮罩123的上表面齐平。
如图10所示,移除虚置栅极121、虚置栅极介电层119、以及第三硬遮罩123的任何保留部分(若保留)。如此一来,栅极间隔物131之间的开口1033中露出主动区301的中心部分,而栅极间隔物131维持覆盖鳍状物122中的L形的角落303与主动区301的其他部分。图10亦显示栅极间隔物131的幻像,以显示第二层261的远端与第一内侧间隔物501埋置于栅极间隔物131中,并显示第一内侧间隔物501使第二层261的远端彼此分开。
在一实施例中,可采用蚀刻工艺或平坦化工艺(比如继续前述的化学机械研磨工艺)移除第三硬遮罩123的材料,以移除第三硬遮罩123的其余部分。然而可采用任何合适方法移除第三硬遮罩123。一旦移除第三硬遮罩123,则可露出且接着可移除虚置栅极121。在一实施例中,虚置栅极121的移除方法可采用一或多道湿蚀刻工艺或干蚀刻工艺,其采用的蚀刻剂对虚置栅极121的材料具有选择性。然而可采用任何合适的移除工艺。一旦移除虚置栅极121,则可露出且亦可移除虚置栅极介电层119。在一实施例中,可采用一或多道干蚀刻工艺或湿蚀刻工艺移除虚置栅极介电层119,其采用的蚀刻剂对虚置栅极介电层119具有选择性。如此一来,主动区301的中心部分中露出浅沟槽隔离区135的上表面、第二层261的最顶层的上表面、第一层251的侧部、第二层261的侧部、基板101的侧部(高于浅沟槽隔离区135)、与栅极间隔物131的侧部。
图11显示线路释放工艺步骤。线路释放工艺步骤亦可视作片状物释放工艺步骤、片状物形成工艺步骤、纳米片形成工艺步骤、或线路形成工艺步骤。一旦移除虚置栅极介电层119并露出第一层251的侧部,即可自第一装置区106中的基板101与第二层261之间移除第一层251。在一实施例中,第一层251的移除方法可采用湿蚀刻工艺,其选择性移除第一层251的材料(如硅锗)且实质上不移除基板101与第二层261的材料(如硅)。然而可采用任何合适的移除工艺。在一实施例中,蚀刻剂可为高温氯化氢。此外,湿蚀刻工艺的温度可介于约400℃至约600℃之间(比如约560℃),且时间可介于约100秒至约600秒之间(比如约300秒)。然而可采用任何合适的蚀刻剂、工艺参数、与时间。
通过移除第一层251的材料,第二层261的材料(如纳米片)将形成第一装置区106中的纳米结构901,且纳米结构901彼此隔有第一内侧间隔物501。纳米结构901在两侧的源极/漏极区601之间拉伸(如图8所示),且一起形成第一桩至区106中的全绕式栅极晶体管的通道区堆叠。在一实施例中,纳米结构901的厚度与第二层261的原本厚度相同。
然而在其他实施例中,蚀刻工艺可用于减少纳米结构901的一部分的厚度,使纳米结构901的厚度随着宽度改变而不同。具体而言,纳米结构901的厚度可比第二层261(如硅)的原本厚度薄约0.3nm至约2nm。举例来说,在线路释放步骤时与形成栅极介电层1101时,每一第二层261的厚度可能经历一些硅材损失及/或氧化。如此一来,每一纳米结构901(与主动区301的中心部分)的厚度介于约4nm至约8nm之间(比如约5nm),并相隔原本空间(如第一厚度Th1、第二厚度Th2、与第三厚度Th3)加上额外空间(比如约0.3nm至约2nm),且额外空间来自于线路释放工艺步骤与形成栅极介电层1101时所产生的硅材损失及/或氧化。然而在线路释放步骤时与形成栅极介电层1101时,栅极间隔物131维持保护鳍状物122中L形的角落303与主动区301的其他部分。综上所述,栅极间隔物131所保护的鳍状物122中L形的角落303与主动区301的其他部分,可维持其原本的厚度。
此外,虽然图11显示三个纳米结构901,但可自多层堆叠203提供的纳米片形成任何合适数目的纳米结构901。举例来说,多层堆叠203(见图1)可包含任何合适数目的第一层251(如第一纳米片)与任何合适数目的第二层261(如第二纳米片)。如此一来,含有较少的第一层251与较少的第二层261的多层堆叠203在移除第一层251之后,形成一或两个纳米结构901如将形成于第一装置区106中的全绕式栅极晶体管的通道区堆叠。如此一来,含有许多第一层251与许多第二层261的多层堆叠203在移除第一层251之后,可形成四个或更多纳米结构901如将形成于第一装置区106中的全绕式栅极晶体管的通道区堆叠。图11亦显示将露出的主动区301的中心区,而栅极间隔物131维持保护鳍状物122中的L形的角落303与主动区301的其他部分。
如图12所示的一些实施例,形成栅极介电层1101于第一装置区106中。一旦进行释放工艺步骤并露出纳米结构901,即可形成栅极介电层1101于纳米结构901周围。一些实施例在形成栅极介电层1101之前,可视情况形成第一界面层(未图示)以围绕栅极间隔物131之间的开口1033中的栅极间隔物131、纳米结构901、基板101、与浅沟槽隔离区135的露出表面,并覆盖栅极间隔物131、接点蚀刻停止层87、与层间介电层701的上表面。在一些实施例中,视情况形成的第一界面层可包含缓冲材料如氧化硅,但亦可采用任何合适材料。视情况形成的第一界面层可形成于第一装置区106中的纳米结构901周围,且形成工艺可采用化学气相沉积、物理气相沉积、或氧化,以达约
Figure BDA0002726593500000181
至约
Figure BDA0002726593500000182
的厚度,比如约
Figure BDA0002726593500000183
然而可采用任何合适的工艺或厚度。
在一实施例中,栅极介电层1101为高介电常数的介电材料如氧化铪、氧化铪硅、氮氧化铪硅、氧化铪钽、氧化铪钛、氧化铪锆、氧化镧、氧化锆、氧化钛、氧化钽、氧化铝、上述的组合、或类似物,且其沉积工艺可为原子层沉积、化学气相沉积、或类似工艺。栅极介电层1101的厚度可介于约1nm至约3nm之间,但可采用任何合适材料与厚度。如图所示,栅极介电层1101包覆纳米结构901,因此隔离第一装置区106中的全绕式栅极晶体管的通道区堆叠。在一些实施例中,在形成栅极的金属接点材料于栅极介电层1101上之前,可先视情况形成掺杂氮的介电材料(未图示),如下详述。
图13A显示一些实施例中,形成L形的全绕式栅极晶体管1300所用的栅极1103于第一装置区106中。图13A中以幻像图表示栅极1103、栅极间隔物131、接点蚀刻停止层87、与层间介电层701,以显示埋置于L形的全绕式栅极晶体管1300中的这些结构。举例来说,埋置于栅极1103、栅极间隔物131、接点蚀刻停止层87、与层间介电层701中的主动区301的部分、源极/漏极区601、纳米结构901的部分、第一内侧间隔物501、与栅极介电层1101可视作L形的全绕式栅极晶体管1300,如图13A所示。图13A亦显示L形全绕式栅极晶体管1300的左侧上的源极/漏极区601的第七宽度W7,以及L形全绕式栅极晶体管1300的右侧上的源极/漏极区601的第八宽度W8。
一旦形成栅极介电层1101于纳米结构901周围,即可形成栅极1103于栅极介电层1101上并填入栅极间隔物131之间的开口1033的其余空间。在一实施例中,栅极1103采用多层,且按序沉积每一层的方法可采用高顺应性的沉积工艺如原子层沉积,但可采用任何合适的沉积工艺。如此一来,用以形成栅极1103的多层填入隔有栅极介电层1101的通道区堆叠之间的保留开放区域,并填入开口1033中保留的其他开放区域。在一些实施例中,栅极1103可包含盖层、阻障层、n型金属功函数层、p型金属功函数层、与填充材料(未分开图示)。
可形成盖层以与栅极介电层1101相邻,且盖层的组成可为金属化材料如氮化钽、钛、氮化钛铝、钛铝、铂、碳化钽、碳氮化钽、氮化钽硅、锰、锆、氮化钛、钌、钼、氮化钨、其他金属氧化物、金属氮化物、金属硅酸盐、过渡金属氧化物、过渡金属氮化物、过渡金属硅酸盐、金属的氮氧化物、金属铝酸盐、硅酸锆、铝酸锆、上述的组合、或类似物。金属化材料的沉积工艺可采用原子层沉积、化学气相沉积、或类似工艺,但亦可采用任何合适的沉积工艺。
可形成阻障层以与盖层相邻,且阻障层与盖层的材料可不同。举例来说,阻障层的材料可为一或多层的金属化材料如氮化钛、氮化钽、钛、氮化钛铝、钛铝、铂、碳化钽、碳氮化钽、氮化钽硅、锰、锆、钌、钼、氮化钨、其他金属氧化物、金属氮化物、金属硅酸盐、过渡金属氧化物、过渡金属氮化物、过渡金属硅酸盐、金属的氮氧化物、金属铝酸盐、硅酸锆、铝酸锆、上述的组合、或类似物。阻障层的沉积工艺可采用原子层沉积、化学气相沉积、或类似工艺,但可采用任何合适的沉积工艺。
在一些实施例中,形成于第一装置区106中的全绕式栅极晶体管可为第一型全绕式栅极晶体管(比如n型金属氧化物半导体晶体管)。如此一来,可形成n型金属功函数层以与阻障层相邻。在一实施例中,n型金属功函数层的材料可为钨、铜、铝铜、碳化钛铝、氮化钛铝、钛铝、铂、钛、氮化钛、钽、氮化钽、钴、镍、银、铝、钽铝、碳化钽铝、碳化钽、碳氮化钽、氮化钽硅、锰、锆、其他合适的n型功函数材料、或上述的组合。举例来说,第一n型金属功函数层的沉积方法可采用原子层沉积工艺、化学气相沉积工艺、或类似工艺。然而可采用任何合适的材料与工艺形成n型金属功函数层。
可形成p型金属功函数层以与n型金属功函数层相邻。在一实施例中,第一p型金属功函数层的组成可为金属化材料如钨、铝、铜、氮化钛、钛、氮化钛铝、钛铝、铂、钽、氮化钽、钴、镍、碳化钽、碳氮化钽、氮化钽硅、钽硅化物、镍硅化物、锰、锆、锆硅化物、钌、铝铜、钼、钼硅化物、氮化钨、其他金属氧化物、金属氮化物、金属硅酸盐、过渡金属氧化物、过渡金属氮化物、过渡金属硅酸盐、金属的氮氧化物、金属铝酸盐、硅酸锆、铝酸锆、上述的组合、或类似物。此外,p型金属功函数层的沉积方法可为沉积工艺如原子层沉积、化学气相沉积、或类似工艺,但可采用任何合适的沉积工艺。
一旦形成p型金属功函数层,可沉积填充材料以填入开口1033的其余部分。在一实施例中,填充材料可为钨、铝、铜、铝铜、钨、钛、氮化钛铝、钛铝、铂、碳化钽、碳氮化钽、氮化钽硅、锰、锆、氮化钛、钽、氮化钽、钴、镍、上述的组合、或类似物,且其形成方法可采用沉积工艺如化学气相沉积、原子层沉积、物理气相沉积、镀制法、上述的组合、或类似工艺。然而可采用任何合适材料。
一旦移除虚置栅极121所留下的开口1033被填满,即可平坦化第一装置区106中的栅极1103的材料,使移除虚置栅极121所留下的开口之外的任何材料被移除。在具体实施例中,进行移除的方法可采用平坦化工艺如化学机械研磨。然而可采用任何合适的平坦化与移除工艺。
图13B显示一些实施例中,图13A的L形全绕式栅极晶体管1300的剖线A-A的上视图。具体而言,图13B显示L形全绕式栅极晶体管1300的通道区中的栅极1103、栅极间隔物131、与主动区301。图13B亦显示一些实施例中主动区301的结构,包括角落303、左侧源极/漏极区601的第七宽度W7、右侧源极/漏极区601的第八宽度W8、以及第一长度L1。为了易于说明,未图示栅极介电层1101与接点蚀刻停止层87。
如图13B所示的一些实施例,L形的全绕式栅极晶体管1300具有栅极1103对准L形的主动区301并直接位于L形的主动区301上,使鳍状物122中L形的角落303在纳米结构901的堆叠与源极/漏极区601之间的界面处位于栅极1103之下。此外,图13B显示主动区301的左侧界面(比如左侧通道宽度)具有第三宽度W3,而主动区301的右侧界面(比如右侧通道宽度)具有第四宽度W4,且第三宽度W3大于第四宽度W4。图13B亦显示纳米结构901的左侧远端与源极/漏极区601之间的左侧通道界面的第五宽度W5,以及纳米结构901的右侧远端与源极/漏极区601之间的右侧通道界面的第六宽度W6。
这些多层主动装置200包括L形主动区,其于主动区301的一侧的宽度大于另一侧的宽度以提供节能优点(与两侧宽度没有差别的主动区301相较)。举例来说,L形全绕式栅极晶体管1300的主动区301的左侧通道宽度大于右侧通道宽度(比如第三宽度W3>第四宽度W4),以提供节能优点(介于约6%至约8%之间)。此外,含有L形主动区301的多层主动装置200的通道界面,可与主动区301的通道宽度大致相同,比如第五宽度W5与第三宽度W3大致相同,及/或第六宽度W6与第四宽度W4大致相同。如此一来,源极/漏极区601与主动区301中的纳米结构901的堆叠之间的界面,可具有少量扭曲或不具有扭曲。
图14A显示另一实施例中,图13A中的L形全绕式栅极晶体管1300的剖线A-A的上视图。在一些实施例中,图14A与图13B类似并显示相同结构,差别在于图14A中形成于主动区301上的栅极1103、栅极介电层1101(未图示)、与栅极间隔物131具有向左偏离OS-L。为了易于说明,不图示栅极介电层1101与接点蚀刻停止层。
在一些实施例中,向左偏离OS-L介于约0nm至约8nm之间,其可来自于偏移光阻遮罩的设计或采用测量的层叠偏移。主动区301的左侧通道宽度与右侧通道宽度相对于每一角落303,偏移至鳍状物122中L形的角落303的左侧,且偏移的距离为向左偏离OS-L。如此一来,多层主动装置200的左侧上的角落303位于栅极1103之下,而多层主动装置200的右侧上的角落303位于主动区301右侧之右的栅极间隔物131之下。
如此一来,多层主动装置200的右侧的外延成长的源极/漏极区601与主动区301之间的界面可具有第九宽度W9(介于约8nm至约50nm之间,比如约10nm),而栅极1103与主动区301的右侧之间的界面可具有第十宽度W10(介于约8nm至约50nm之间,比如约12nm)。类似地,在主动区301的相反侧上,多层主动装置200的左侧的外延成长的源极/漏极区601与主动区301之间的界面具有第七宽度W7,而栅极1103与主动区301的左侧之间的界面可具有第十一宽度W11(介于约8nm至约50nm之间,比如约30nm)。然而可采用任何合适尺寸。
图14B显示另一实施例中,图13A中的L形全绕式栅极晶体管1300的剖线A-A的剖面的上视图。在一些实施例中,图14B与图13B类似并显示相同结构,然而图14B中的栅极1103、栅极介电层1101(未图示)、与栅极间隔物131形成于主动区301上并向右偏离OS-R。为了简化说明,不图示栅极介电层1101与接点蚀刻停止层87。
在一些实施例中,向右偏离OS-R介于约0nm至约8nm之间,其可来自于偏移光阻遮罩的设计或采用测量的层叠偏移。主动区301的左侧通道宽度与右侧通道宽度偏移至鳍状物122中L形的角落303的右侧,且偏移的距离为向右偏离OS-R。如此一来,多层主动装置200的左侧上的角落303位于主动区301之左的层间介电层701或栅极间隔物131之下,而多层主动装置200的右侧上的角落303位于栅极1103的右侧上的栅极间隔物131之左的栅极1103之下。
如此一来,多层主动装置200的右侧的外延成长的源极/漏极区601与主动区301之间的界面可具有第八宽度W8(介于约8nm至约50nm之间,比如约10nm),而栅极1103与主动区301的右侧之间的界面可具有第十二宽度W12(介于约8nm至约50nm之间,比如约12nm)。类似地,在主动区301的另一侧上,多层主动装置200的左侧的外延成长的源极/漏极区601与主动区301之间的界面可具有第十三宽度W13,而栅极1103与主动区301的左侧之间的界面可具有第十四宽度W14(介于约8nm至约50nm之间,比如约28nm)。然而可采用任何合适尺寸。
图15A至15E显示一些实施例中,含有多层主动装置阵列的多种单元方案。每一单元方案包括结合一或多个L形全绕式栅极晶体管1300的多层主动装置1501的阵列。L形全绕式栅极晶体管1300的设计弹性与节能优点可用于大量的单元方案,以在不同单元方案中进一步达到设计弹性与节能。
如图15A所示的一些实施例,采用六个L形全绕式栅极晶体管1300形成第一单元方案1500。在一些实施例中,第一单元方案1500包括第一鳍状物1522(其第一复合形状包括三个L形主动区301)与第二鳍状物1523(其第二复合形状包括三个L形主动区301),且第二复合形状为第一复合形状的镜像。第一鳍状物1522与第二鳍状物1523形成于多层堆叠203(未图示)与基板101(未图示)中的方法,可采用形成鳍状物122的上述材料与工艺。此外,若所需的栅极1103的一或多者可置换为虚置栅极,其包括栅极1103的一或多者位于L形主动区301上。
图15B所示的一些实施例中,采用四个L形全绕式栅极晶体管1300形成第二单元方案1510。第二单元方案1510包括第三鳍状物1525,其具有包含一个L形主动区301的第三复合形状,且第三复合形状包括开口1511于第一鳍状物1522中。第二单元方案1510还包括第四鳍状物1527,其具有包含三个L形主动区301的第二复合形状。在一实施例中,第二鳍状物1523的三个L形主动区301的第一者,与第一鳍状物1522的一L形主动区301具有相同的第一主动宽度。此外,第四鳍状物1527的三个L形主动区301的其余两者可具有相同的第二主动宽度(其小于第一主动宽度)。在一些实施例中,第一主动宽度可介于约8nm至约50nm之间(比如约30nm),而第二主动宽度可介于约8nm至约50nm之间(比如约10nm)。第三鳍状物1525、第四鳍状物1527、L形主动区301、与栅极1103的形成方法可采用此处所述的任何材料与工艺。
如图15C所示的一些实施例,采用四个L形全绕式栅极晶体管1300形成第三单元方案1520。第三单元方案1520包括的第五鳍状物1529具有第五复合形状(包括四个L形主动区301),而第六鳍状物1531具有第六复合形状(包括四个L形主动区301)。在一些实施例中,第六复合形状可为第五复合形状的镜像,且四个L形主动区301的鳍状物宽度自鳍状物的左侧至鳍状物的右侧逐渐缩小。举例来说,L形主动区301的第一鳍状物宽度Wf1可介于约8nm至约50nm之间(比如约40nm),而第二鳍状物宽度Wf2可介于约8nm至约50nm之间(比如约10nm)。而鳍状物宽度减少的间隔可介于约72nm至约102nm之间,比如约90nm。第五鳍状物1529、第六鳍状物1531、L形主动区301、与栅极1103的形成方法可采用此处所述的任何材料与工艺。
图15D显示一些实施例中,采用五个L形的全绕式栅极晶体管1300所形成的第四种单元方案1530。第四单元方案1530包括第七鳍状物1533(其第七复合形状包括两个L形主动区301)与第八鳍状物1535(其第八复合形状包括三个L形主动区301)。在此实施例中,复合形状可彼此偏离,因此第七鳍状物1533与第八鳍状物1535彼此非镜像。第七鳍状物1533、第八鳍状物1535、L形主动区301、与栅极1103的形成方法可采用此处所述的任何材料与工艺。
图15E显示一些实施例中,采用八个L形全绕式栅极晶体管1300所形成的第五单元方案1540。第五单元方案1540包括第九复合形状的第九鳍状物1537与第十复合形状的第十鳍状物1539,第九复合形状包括四个L形主动区301,且第十复合形状包括四个L形主动区301。在一些实施例中,第十复合形状可为第九复合形状的镜像,而相邻的栅极1103各自位于L形主动区301上。第九鳍状物1537、第十鳍状物1539、L形主动区301、与栅极1103的形成方法可采用此处所述的任何材料与工艺。
在此处所述的每一实施例中,L形全绕式栅极晶体管1300可为n型(n型金属氧化物半导体)全绕式栅极晶体管或p型(p型金属氧化物半导体)全绕式栅极晶体管。此外,此处所述的实施例在n型场效晶体管装置与p型场效晶体管装置中,可用于L形全绕式栅极晶体管。如此一来,此处所述的实施例可用于高设计弹性选择的许多节能应用。
此处所述的实施例关于含有复合形状(如L形)的主动区301于多层主动装置200的纳米结构901中的多层主动装置200与其形成方法。在实施例中,主动区301在主动区301的角落303之间,具有纳米结构主动长度如第一长度L1。角落303位于主动装置的栅极间隔物131之下。在主动区301的远端,纳米结构901具有不同宽度。在一些实施例中,与具有非复合形状的主动区301的主动装置相较,多层主动装置200具有节能约6%至8%的优点。此外,多层主动装置200提供的设计弹性可用于主动装置的许多不同配置。
通过形成与采用具有L形主动区301于多层主动装置200的纳米结构中的纳米结构901,可使短通道装置具有高效能亦可节能。此外,不同单元种类所用的设计弹性的不同实施例中,全绕式栅极装置的L形的角落303位于栅极间隔物131及/或栅极之下,如此处所述。
在一实施例中,半导体装置的形成方法,包括:沉积第一片状物于半导体基板上;沉积第一半导体材料于第一片状物上;沉积第二片状物于第一半导体材料上;沉积第二半导体材料于第二片状物上;图案化第一片状物、第一半导体材料、第二片状物、与第二半导体材料成鳍状物,鳍状物具有第一宽度与第二宽度,且第一宽度大于第二宽度;移除第一片状物与第二片状物,以自第一半导体材料形成第一纳米结构,并自第二半导体材料形成第二纳米结构;沉积栅极介电层以围绕第一纳米结构与第二纳米结构;以及沉积栅极于栅极介电层周围。在一实施例中,沉积栅极的步骤包括至少部分地形成栅极于第一纳米结构的第一角落上。在一实施例中,在沉积栅极介电层之后,栅极间隔物与栅极介电层相邻并至少部分地位于第一纳米结构的第二角落上,且第二角落与具有第二宽度的鳍状物的一部分相邻。在一实施例中,沉积栅极的步骤包括至少部分地形成栅极于第一纳米结构的第二角落上。在一实施例中,沉积栅极介电层之后,栅极间隔物与栅极介电层相邻且至少部分地位于第一纳米结构的第二角落上,且第二角落与具有第一宽度的鳍状物的一部分相邻。在一实施例中,方法还包括外延成长第一源极/漏极区以与第一纳米结构相邻,且第一源极/漏极区具有第三宽度;以及外延成长第二源极/漏极区以与第一纳米结构相邻,第二源极/漏极区具有第四宽度,且第四宽度与第三宽度不同。在一实施例中,第一宽度介于约8nm至约30nm之间。
在一实施例中,半导体装置的形成方法包括:形成多层堆叠于半导体基板上,多层堆叠包括第一材料的第一层与第二材料的第二层,且第一材料与第二材料不同;蚀刻图案至多层堆叠中,多层堆叠的其余部分包括主动区,主动区分隔保留的多层堆叠的第一侧与保留的多层堆叠的第二侧,第一侧具有第一宽度且第二侧具有第二宽度,且第一宽度与第二宽度不同;进行线路释放工艺,以移除第一层并形成纳米结构的堆叠,而纳米结构的堆叠在第一侧具有第一宽度且在第二侧具有第二宽度;沉积栅极介电层于纳米结构的堆叠周围;以及沉积栅极以围绕纳米结构的堆叠中的每一纳米结构。在一实施例中,方法还包括形成第一源极/漏极区以与纳米结构的堆叠的第一侧相邻,以及形成第二源极/漏极区以与纳米结构的堆叠的第二侧相邻,且第一源极/漏极区的宽度大于第二源极/漏极区的宽度。在一实施例中,第一宽度与第二宽度之间的差异顶多8nm。在一实施例中,纳米结构的堆叠的一纳米结构包括第一角落于第一侧,且第一角落至少部分地位于栅极之下。在一实施例中,一纳米结构包括第二角落于第二侧,且第二角落至少部分地位于栅极之下。在一实施例中,一纳米结构包括第二角落于第二侧,且第二角落至少部分地位于层间介电层之下,其中第一宽度大于第二宽度。在一实施例中,一纳米结构包括第二角落于第二侧,且第二角落至少部分地位于层间介电层之下,其中第一宽度小于第二宽度。
在一实施例中,半导体装置包括:栅极介电层,位于基板上;栅极,位于栅极介电层周围;以及纳米结构的垂直堆叠,纳米结构的垂直堆叠的每一纳米结构包括栅极介电层所围绕的主动区,其中每一主动区在栅极介电层的第一侧上具有第一通道宽度且在栅极介电层的第二侧上具有第二通道宽度,而第一通道宽度大于第二通道宽度。在一实施例中,第一通道宽度大于第二通道宽度的差距不超过16nm。在一实施例中,纳米结构的垂直堆叠的第一纳米结构包括第一角落于栅极介电层的第一侧上,以及第二角落于栅极介电层的第二侧上。在一实施例中,半导体装置还包括:第一栅极间隔物,与栅极介电层的第一侧上的栅极相邻;以及第二栅极间隔物,与栅极介电层的第二侧上的栅极相邻。在一实施例中,第一角落至少部分地位于第一栅极间隔物之下,且第二角落至少部分地位于栅极之下。在一实施例中,第一角落至少部分地位于栅极之下,且第二角落至少部分地位于第二栅极间隔物之下。
上述实施例的特征有利于本技术领域中技术人员理解本公开。本技术领域中技术人员应理解可采用本公开作基础,设计并变化其他工艺与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中技术人员亦应理解,这些等效置换并未脱离本公开构思与范围,并可在未脱离本公开的构思与范围的前提下进行改变、替换、或变动。

Claims (1)

1.一种半导体装置的形成方法,包括:
沉积一第一片状物于一半导体基板上;
沉积一第一半导体材料于该第一片状物上;
沉积一第二片状物于该第一半导体材料上;
沉积一第二半导体材料于该第二片状物上;
图案化该第一片状物、该第一半导体材料、该第二片状物、与该第二半导体材料成一鳍状物,该鳍状物具有一第一宽度与一第二宽度,且该第一宽度大于该第二宽度;
移除该第一片状物与该第二片状物,以自该第一半导体材料形成一第一纳米结构,并自该第二半导体材料形成一第二纳米结构;
沉积一栅极介电层以围绕该第一纳米结构与该第二纳米结构;以及
沉积一栅极于该栅极介电层周围。
CN202011104805.2A 2019-10-29 2020-10-15 半导体装置的形成方法 Pending CN112750909A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962927531P 2019-10-29 2019-10-29
US62/927,531 2019-10-29
US16/871,993 US11296199B2 (en) 2019-10-29 2020-05-11 Semiconductor devices and methods
US16/871,993 2020-05-11

Publications (1)

Publication Number Publication Date
CN112750909A true CN112750909A (zh) 2021-05-04

Family

ID=75587176

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011104805.2A Pending CN112750909A (zh) 2019-10-29 2020-10-15 半导体装置的形成方法

Country Status (3)

Country Link
US (3) US11296199B2 (zh)
CN (1) CN112750909A (zh)
TW (1) TW202129765A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210124731A (ko) * 2020-04-07 2021-10-15 삼성전자주식회사 게이트 스페이서를 갖는 반도체 소자들
US20230117889A1 (en) * 2021-10-15 2023-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7625790B2 (en) * 2007-07-26 2009-12-01 International Business Machines Corporation FinFET with sublithographic fin width
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9006829B2 (en) 2012-08-24 2015-04-14 Taiwan Semiconductor Manufacturing Company, Ltd. Aligned gate-all-around structure
US9209247B2 (en) 2013-05-10 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned wrapped-around structure
US9136332B2 (en) 2013-12-10 2015-09-15 Taiwan Semiconductor Manufacturing Company Limited Method for forming a nanowire field effect transistor device having a replacement gate
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9412817B2 (en) 2014-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide regions in vertical gate all around (VGAA) devices and methods of forming same
US9536738B2 (en) 2015-02-13 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) devices and methods of manufacturing the same
US9502265B1 (en) 2015-11-04 2016-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical gate all around (VGAA) transistors and methods of forming the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR102413782B1 (ko) * 2016-03-02 2022-06-28 삼성전자주식회사 반도체 장치
US20190081155A1 (en) * 2017-09-13 2019-03-14 Globalfoundries Inc. Nanosheet transistor with improved inner spacer

Also Published As

Publication number Publication date
US11699729B2 (en) 2023-07-11
US11296199B2 (en) 2022-04-05
US20230299159A1 (en) 2023-09-21
US20220231139A1 (en) 2022-07-21
US20210126097A1 (en) 2021-04-29
TW202129765A (zh) 2021-08-01

Similar Documents

Publication Publication Date Title
US11791421B2 (en) Nanosheet field-effect transistor device and method of forming
US20230299159A1 (en) Semiconductor Devices and Methods
CN112750775A (zh) 半导体装置的形成方法
KR102549861B1 (ko) 반도체 디바이스의 콘택 플러그 구조물 및 그 형성 방법
KR102556751B1 (ko) 반도체 디바이스 및 방법
KR102530213B1 (ko) 반도체 디바이스 및 방법
US20230261051A1 (en) Transistor Gate Structures and Methods of Forming the Same
CN114975277A (zh) 半导体装置及其制造方法
CN113161353A (zh) 半导体装置
CN113113408A (zh) 半导体装置
KR102557598B1 (ko) 나노시트 전계 효과 트랜지스터 디바이스 및 형성 방법
KR102541232B1 (ko) 반도체 디바이스 및 방법
US12021116B2 (en) Semiconductor gates and methods of forming the same
KR102588493B1 (ko) 반도체 디바이스 및 제조 방법
US20220344508A1 (en) Semiconductor device and method
US20230163075A1 (en) Semiconductor Device and Method
TW202230606A (zh) 半導體裝置
KR20220017809A (ko) 게이트 전극 퇴적 및 게이트 전극 퇴적에 의해 형성되는 구조체
CN114628330A (zh) 半导体装置与其形成方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination