CN112740355B - 电子枪及电子显微镜 - Google Patents

电子枪及电子显微镜 Download PDF

Info

Publication number
CN112740355B
CN112740355B CN201980061438.1A CN201980061438A CN112740355B CN 112740355 B CN112740355 B CN 112740355B CN 201980061438 A CN201980061438 A CN 201980061438A CN 112740355 B CN112740355 B CN 112740355B
Authority
CN
China
Prior art keywords
field emitter
tip portion
silicon substrate
sic layer
electron gun
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980061438.1A
Other languages
English (en)
Other versions
CN112740355A (zh
Inventor
勇-霍·亚历克斯·庄
银英·肖-李
E·加西亚-贝里奥斯
约翰·费尔登
长尾昌善
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
National Institute of Advanced Industrial Science and Technology AIST
KLA Corp
Original Assignee
National Institute of Advanced Industrial Science and Technology AIST
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by National Institute of Advanced Industrial Science and Technology AIST, KLA Tencor Corp filed Critical National Institute of Advanced Industrial Science and Technology AIST
Publication of CN112740355A publication Critical patent/CN112740355A/zh
Application granted granted Critical
Publication of CN112740355B publication Critical patent/CN112740355B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/06Electron sources; Electron guns
    • H01J37/073Electron guns using field emission, photo emission, or secondary emission electron sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/063Electron sources
    • H01J2237/06325Cold-cathode sources
    • H01J2237/06341Field emission
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/063Electron sources
    • H01J2237/06325Cold-cathode sources
    • H01J2237/06341Field emission
    • H01J2237/0635Multiple source, e.g. comb or array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Cold Cathode And The Manufacture (AREA)
  • Electron Sources, Ion Sources (AREA)

Abstract

本发明揭示一种用于电子显微镜或类似装置的电子枪,其包含:场发射器阴极,其具有从单晶硅衬底的输出表面延伸的场发射器突出部;及电极,其经配置以增强来自所述场发射器突出部的尖端部分的电子发射以产生主电子束。连续SiC薄层使用最小化所述SiC层中的氧化及缺陷的过程直接安置于所述场发射器突出部的至少所述尖端部分上。任选栅极层可被放置于所述场发射器尖端部分的高度、略低于或略高于所述高度以实现高发射电流及所述主发射束的快速及精确控制。所述场发射器可经p型掺杂且经配置以在反向偏压模式中操作,或所述场发射器可经n型掺杂。

Description

电子枪及电子显微镜
相关申请案
本申请案主张2019年9月11日申请的标题为“电子枪及电子显微镜(ELECTRON GUNAND ELECTRON MICROSCOPE)”的第16/568,110号美国专利申请案的优先权。本申请案还主张2018年10月12日申请且以引用的方式并入本文中的标题为“电子源(ELECTRON SOURCE)”的第62/744,890号美国临时专利申请案的优先权。本申请案还主张2019年8月12日申请且以引用的方式并入本文中的标题为“电子枪及电子显微镜(ELECTRON GUN AND ELECTRONMICROSCOPE)”的第62/885,624号美国临时专利申请案的优先权。
技术领域
本公开大体上涉及适用于扫描电子显微镜、电子束光刻系统及适用于检视且检验样本的其它系统(例如,光掩模、分划板及半导体晶片)中的电子枪。特定来说,本公开涉及利用冷电子场发射器的电子枪,且涉及包含这些电子枪的检视及检验系统。
背景技术
集成电路行业需要具有越发高的敏感度的检验工具来检测约发小的缺陷及粒子,所述缺陷及粒子的大小可为几十纳米(nm)或更小。这些检验工具必须高速操作以便在短时段中检验光掩模、分划板或晶片的面积的至少一大部分。例如,在IC生产期间执行的高速检验通常花费一个小时或更少。为研究及开发(R&D)或故障排除目的执行的更详细高速检验可花费最多几个小时。高速检验工具使用具有比受关注表面缺陷或粒子的尺寸更大的像素或光点大小的入射能量束扫描样本表面且监测从样本表面偏转的能量数量,借此通过偏转能量的数量中的较小改变检测表面缺陷或非所要粒子。最通常在使用配合超紫外线(UV)光源操作的检验工具的生产中执行高速检验。可使用电子枪执行在R&D中执行的更详细高速检验。
一旦通过高速检验发现缺陷或粒子,通常就必须制作更高分辨率的图像及/或执行材料分析以确定粒子或缺陷的起源或类型。此过程通常被称为检视。通常用扫描电子显微镜(SEM)执行检视。用于半导体制造中的检视SEM通常需要每天检视数千个潜在缺陷或粒子,借此检视SEM需要按允许最多几秒来可操作地扫描每一缺陷/粒子的速度操作。
电子显微镜(例如,SEM)利用电子枪(还称作电子源)来产生电子束且将电子束引导向目标样本。热离子源通常包含由钨或六硼化镧(LaB6)制成的阴极。在热离子发射期间,当电子热能足够高以克服表面势垒时,电子从材料表面沸腾出。热离子发射器通常需要高温(大于1300°K)来操作且具有若干缺点,例如低效率的电力消耗、广能量散布、短寿命、低电流密度及有限亮度。
虽然热离子源当前是最常见的市售电子枪类型,但对更高效率的电子枪的需求已驱使肖特基(Schottky)发射器及电子场发射器的开发。
肖特基发射器通常由具有涂覆有氧化锆(ZrOX)层的尖端的钨丝制成,其展现远低于常规热离子发射器的功函数(~2.9eV)。肖特基发射器因有效势垒在经施加外部电场下归因于图像电荷效应的降低而展现增强的热离子发射。然而,热辅助肖特基发射器需要在高温(>1000K)及高真空(~10-7托)下操作,且其归因于高操作温度而具有比所期望更高的电子发射能量散布。因此,随着IC特征大小(即,因此,受关注缺陷/粒子大小)持续缩减,肖特基发射器无法提供具有半导体晶片及掩模检验、检视及光刻所需的较低能量散布、较高亮度(辐射)及较高电流密度的电子枪。
电子场发射器(本文中被称为“场发射器”)通常由安置于真空中的圆锥形发射器尖端(阴极)、圆形栅极孔隙及阳极构成。在操作期间,在经施加外场下,跨发射器阴极、栅极及阳极建立电势差,从而导致尖端的表面处的高电场。场发射在经施加电场足够高以降低尖端-真空界面上的势垒,使得电子可在室温下穿隧通过此势垒且行进通过栅极孔隙朝向阳极(其在更正电势下偏压)时发生。场发射器中的电子最初处于内部热力学平衡,且多数经发射电子从接近发射器费米能阶的电子状态通过佛勒-诺德翰(Fowler-Nordheim)穿隧逸出(相比而言,在肖特基发射器中,多数电子从远高于费米能阶的状态在场降低屏障的顶部上方逸出)。
早期场发射器设计努力致力于发展金属场发射器。尤其,因为钼具有低电阻率(20℃下的53.4nΩ·m)及高熔点(2896K),所以Spindt型钼场发射器可能是最为人众所周知的金属场发射器。然而,金属发射器遭受若干缺点,例如归因于金属沉积技术而缺乏均匀性,且更严重的是发射电流主要归因于氧化而降级。
随着现代半导体制造技术的出现,已存在对半导体场发射器,尤其硅场发射器的研究。单晶体(单晶)硅是用于场发射器的有吸引力的材料。硅晶体可经生长为具有极高纯度及极少晶体缺陷。可通过掺杂及/或施加电压而更改硅的导电率。更重要的是,硅具有发展良好的技术基础。
在图5中展示典型现有技术硅场发射器的结构。硅衬底51通常为n型掺杂。圆锥形发射器54形成于硅衬底51上,其中任选栅极层57经附接到电介质层56,所述电介质层56包含一或多个绝缘层。任选栅极层57控制且提取发射电流。第三电极(即,阳极(未展示))面向栅极层57且与阴极分离大的距离(约数百微米)。此是典型硅场发射器三极管配置。应注意,在无栅极层57的情况下,场发射器可用作二极管。电子的量子穿隧在跨发射器结构施加偏压电压时发生。大的电场产生于发射器尖端的表面上,且从尖端发射电子。用于利用此类型的硅场发射器的半导体检验/检视/光刻仪器的SEM仪器的冷(即,室温发射)电子枪的生产将解决与肖特基及热离子发射器相关联的许多问题。
即使近年来,硅场发射器已展现前景,其仍尚未市售。使用硅来形成场发生器的一个严重问题在于硅相当活性,且甚至在约10-10托的压力下,其可在数小时内被污染(例如,见J.肖(J.Shaw)及J.伊藤(J.Itoh)的“硅场发射器阵列(Silicon Field EmitterArrays)”,真空微电子学(Vacuum Microelectronics),W.朱(W.Zhu)编辑(学术,2001年),第187到246页)。硅极其容易在其表面上形成原生氧化物。甚至在真空中,最终仍将形成原生氧化物,这是因为真空中存在的少量氧气且水将与硅的表面起反应。硅与二氧化硅之间的界面具有缺陷(归因于悬键),在缺陷中,电子重组的概率非常高。此外,二氧化硅的能带隙较大(约9eV),从而产生高于电子必须克服以逸出的功函数的额外屏障(即使氧化物极薄)。例如,极其平滑的硅表面上的原生氧化物通常约为2nm厚。在一些情境中,氧化还可改变场发射器的形状。这些前述问题可导致低亮度及电流、以及欠佳的稳定性、可靠性、可扩缩性及均匀性,且已阻碍硅场发射器的商业用途。需要周期性急骤蒸发(flashing)(即,暂时提高尖端温度)以移除所述污染物,在此期间,其中实施冷电子枪的系统/仪器保持不可用(即,收集较高分辨率图像及/或执行材料分析必须在尖端被急骤蒸发时暂停)。此在半导体行业中呈现尤其明显的问题,其中期望检验/检视/光刻仪器无中断地持续且稳定操作达较长时段。因此,用于半导体检验/检视/光刻的多数市售SEM仪器包含实施肖特基发射器的电子枪。
研究工作已扩展到寻找用于场发射器的表面处理及涂覆,以针对较低接通电压、较高发射电流密度、较低噪声及改进的稳定性改进其性能。这些处理可包含用耐火金属、硅化物、碳化物及金刚石等涂覆发射器尖端。然而,这些涂层材料在形成平滑的且均匀的涂层表面时通常受制造过程的限制,及/或通常受形成于涂层表面上的氧化物层影响,从而产生额外能量屏障。出于这些原因,利用经涂覆硅场发射器的冷电子枪尚未变为实用。
因此,需要克服一些或全部现有技术限制的电子枪及并入此电子枪的电子显微镜。
发明内容
本发明涉及一种用于SEM或其它装置/仪器中的电子枪,其中所述电子枪包含场发射器(阴极),其具有:一或多个场发射器突出部,其一体连接到单晶(单晶体)硅衬底,使得所述突出部从所述硅衬底的输出(第二)表面延伸;及基本上纯碳化硅(SiC)层(即,由至少75%SiC构成),其密闭地安置于所述场发射器突出部的至少一尖端(自由端)部分上。所述SiC层形成于所述突出部上,使得在操作期间,通过所述尖端部分离开所述单晶硅衬底的电子仅在形成主电子束之前通过所述SiC层。所述场发射器突出部到所述硅衬底的一体连接(即,通过蚀刻所述单晶硅衬底的部分,使得单晶硅的剩余未蚀刻部分形成所述突出部)通过利用在所述衬底经受足够高(强)以降低所述尖端部分外侧的势垒的经施加外部电场时产生的场增强,借此电子能够穿隧通过降低的势垒(即,通过量子力学穿隧)而规避所述硅衬底的相对高功函数。此外,使用连续基本上纯SiC层来密闭地密封所述场发射器突出部提供优于常规表面处理及涂覆的若干优势:首先,已知用于形成基本上纯SiC层作为无针孔涂层的各种技术,所述层防止原生氧化物在所述场发射器突出部的所述外表面上形成;第二,基本上纯SiC层具有低于常规涂层(例如二氧化硅)的能带隙,借此增加操作期间的电子流;及第三,所述SiC层可耐受超过400°的处理温度而不明显改变其组成物或功函数,从而允许并入此发射器的电子枪在200℃或更高的温度下真空烘烤。因此,通过产生具有包含在单晶硅衬底上一体成型且由基本上纯SiC层密闭地保护的场发射器突出部的场发射器的电子枪,本发明促进产生具有硅的有利质量(即,亚1μm波长吸收、高纯度/低缺陷材料及长电子重组时间)的冷电子枪,同时避免之前已防止利用经涂覆硅场发射器的冷电子枪的广泛商用生产的负面方面。
本发明的所述电子枪通过利用归因于所述场发射器突出部的场增强而规避硅的相对高的功函数。第二电极(例如,阳极)定位成面向所述场发射器阴极。所述阳极可由金属(例如钨、钼或不锈钢)制成。可利用高电压源(通常约千伏)来产生所述场发射器突出部与所述阳极之间的外部电势差,以便在所述场发射器突出部的所述尖端附近产生强电场,从而引起电子优先移动朝向所述发射器尖端。当使用所述场发射器突出部与阳极之间的高电势差(例如约1kV或更高的电压)时,所述阳极应优选地远离所述场发射器突出部约50μm。在一项实施例中,在相对于所述场发射器突出部的至少500V的正电压下,所述阳极被放置为距所述发射器尖端至少50μm。在替代性实施例中,在小于相对于所述发射器正100V的电势下,所述阳极被放置为靠近所述发射器尖端(例如约2μm或更小的距离)。将所述阳极放置为更靠近所述发射器尖端允许从更小电压产生足够强的电场,此有利于通过可缩短所述发射器的寿命的高能离子最小化所述发射器的回轰。然而,将所述阳极放置为更靠近所述发射器尖端需要所述阳极中的所述孔隙相对于所述发射器尖端的更精确对准。
场发射在经施加电场足够高以降低硅-真空界面上的所述势垒,使得电子可穿隧通过此屏障(即,量子力学穿隧)时发生。在半导体中,在电子浓度由局部掺杂级及电势确定时,可配置场发射器以如在p-n二极管中那样在反向偏压模式中操作,其中归因于所述发射器表面处的高电场而产生耗尽层。真空与涂覆SiC、p型掺杂场发射器突出部之间的界面可形成p-n结,其中真空被视为n型电介质。在此情况中,导带及价带将在所述表面处向下弯曲。如果所述电场足以使所述导带的最低能阶低于费米(Fermi)能阶,那么所述发射器尖端的顶点处将存在丰富电子且产生约nA到μA的电流。在替代性实施例中,所述电场可保持在稍微较低的等级,使得所述导带的所述最低能阶保持恰高于所述费米能阶,且产生极少或不产生发射电流。在此实施例中,可使用光来产生电子-空穴对。如此产生的电子将被强吸引朝向所述尖端且许多电子将从所述尖端作为发射电流发射。在此实施例中,所述发射电流可通过控制入射于所述场发射器衬底上或其附近的亮度级而控制。在又一实施例中,硅晶片的块体可经n型掺杂,使得丰富电子可用于形成所述发射电流。可通过佛勒-诺德翰理论的经修改版本估计所述发射电流密度,所述理论考虑到归因于所述场发射器突出部的场增强因子。因此,通过产生具有形成于所述单晶体硅衬底上的SiC层及场发射器突出部两者的电子发射器结构,本公开提供硅的有益质量(即,高纯度/低缺陷材料、长电子重组时间及成熟的硅基制造过程),且实现场发射器的有吸引力的特征(即,小发射器大小、低电力消耗、高亮度、高电流密度、高速度及长发射器寿命),同时避免先前阻止硅基场发射器结构的广泛商业用途的负面方面。
根据本公开的各种替代性实施例,各种额外层及结构用于进一步增强所公开的发射器结构的有利质量。在一些实施例中,一个或若干栅极层或控制电极被放置于所述场发射器突出部的高度(即,从基底到尖端)、略低于或略高于所述高度以便进一步增强所述发射器尖端处的所述电场且实现对所述发射电流的快速且准确控制。所述栅极层通常形成在沉积于所述衬底上的一个或若干绝缘层的顶部上。如果存在若干栅极层,那么所述绝缘层为这些栅极层之间的间隔件。在又其它实施例中,多电子束枪包括多个所公开的场发射器突出部,所述多个所公开的场发射器突出部布置成安置于所述发射器表面上的二维周期性图案(例如,场发射器阵列(FEA))以在存在电场的情况下发射电子。
根据本公开的实施例,本文公开的所述电子枪被并入检验、计量及检视扫描电子显微镜(SEM)中。SEM通常包含电子枪、电子光学器件及检测器。所述电子光学器件可经配置以微缩(de-magnify)主电子束且将所述主电子束聚焦于样本上且所述检测器可经配置以检测从所述样本的反向散射电子及二次电子中的至少一者。所述电子枪产生指向样本的主电子束。所述电子枪包含涂覆SiC的硅场发射器突出部或多个涂覆SiC的硅场发射器突出部。所述电子枪可经配置以使所述基于硅的场发射器作为二极管或三极管操作。所述电子光学器件微缩所述主电子束且将其聚焦到所述样本上。所述电子光学器件还包含可使所述主电子束遍及所述样本的区域进行扫描的偏转器。当所述主电子束撞击在所述样本时,所述样本吸收来从所述主电子束的许多电子,但散射一些电子(反向散射电子)。所述经吸收能量使二次电子连同X射线及Auger电子一起从所述样本发射。所述二次电子由二次电子检测器收集。所述反向散射电子可由反向散射电子检测器收集。
在替代性实施例中,本发明涉及一种并入本文描述的所述电子枪的装置。所述装置可为扫描电子显微镜(SEM),其中所述电子光学器件经配置以微缩所述主电子束且将其聚焦到所述样本上。所述装置可进一步包括用于检测来自所述样本的反向散射电子及二次电子中的至少一者的检测器。在另一实施例中,所述装置可为电子束光刻系统,其中所述电子光学器件经配置以微缩所述主电子束且将其聚焦到目标上。所述装置可进一步包括用于调制所述电子束的强度的调制器。
附图说明
在附图的图中通过实例而非通过限制说明本公开,在附图中:
图1说明根据本公开的并入电子枪、电子光学器件、反向散射电子检测器及二次电子检测器的实施例的示范性SEM;
图2是说明根据本公开的示范性实施例的包含形成于硅衬底上的涂覆SiC的硅场发射器突出部的呈二极管配置的电子枪的透视图;
图2A是展示图2的电子枪的横截面图;
图3是说明根据本公开的替代实施例的包含形成于硅衬底上的涂覆SiC的硅场发射器突出部及栅极层的呈三极管配置的电子枪的横截面侧视图;
图4A及图4B是说明根据本公开的替代实施例的包含形成于硅衬底上的涂覆SiC的硅场发射器突出部阵列的呈二极管及三极管配置的多电子束电子枪的横截面侧视图;及
图5是说明包括硅场发射器的典型现有技术电子枪的横截面侧视图。
具体实施方式
尽管将依据特定实施例描述所主张的标的物,但其它实施例(包含未提供本文中陈述的全部优点及特征的实施例)也在本公开的范围内。可在不脱离本公开的范围的情况下进行各种结构、逻辑、过程步骤及电子改变。因此,仅参考随附权利要求书定义本公开的范围。
呈现以下描述以使所属领域的一般技术人员能够制造且使用如在特定应用及其要求的上下文中提供的本公开。如本文中使用,方向术语(例如“顶部”、“底部”、“上方”、“下方”、“上”、“向上”、“下”、“往下”及“向下”)希望为描述的目的提供相对位置,且并不希望指定绝对参考系。所属领域的技术人员将明白对优选实施例的各种修改,且本文中定义的一般原理可应用到其它实施例。因此,本公开并不希望受限于所展示及描述的特定实施例,而将符合与本文所公开的原理及新颖特征一致的最广范围。
图1说明示范性检验、计量或检视扫描电子显微镜(SEM)系统100,其经配置以检验或检视样本131,例如半导体晶片、分划板或光掩模。SEM系统100包含:电子枪140;电子光学器件,其经安置于上柱160及下柱170中且经配置以将主电子束150聚焦且引导到样本131;一或多个检测器,其或其经安置于下柱170中以检测从样本131偏转的电子;及系统计算机190。
电子枪140包含腔室143内侧安置的硅场发射器(阴极)141及电极142。场发射器141配置成二极管或三极管配置以发射电子,所述电子行进通过由电极142(其可包含阳极)产生的经施加电场以形成具有所需束能量及束电流(通常约1nA到1μA)的主电子束150,所述主电子束150通过小孔隙144离开腔室143。电子枪140可进一步包括磁透镜(未展示)。腔室143的内部在由泵145操作期间维持在高真空条件下,以便保护场发射器141免受污染物影响且最小化通过高能离子的轰击。可维持电子枪140的充分真空条件,同时允许主电子束150经由孔隙144通到上柱160中。真空泵145优选地包含离子泵及吸气泵中的至少一者。孔隙144优选地具有约100μm到约2mm之间的直径。孔隙144可充当束限制孔隙及差分泵抽孔隙两者。在优选实施例中,腔室143、孔隙144及真空泵145共享经配置以在电子枪140的操作期间将场发射器阴极141维持在小于约10-10托的真空等级。
参考图1的左上部分,场发射器阴极141一般包含单晶硅衬底101,所述单晶硅衬底101包含至少一个一体连接的场发射器突出部104。硅衬底101本质上不含缺陷,且具有约10nm到约800μm范围内的厚度T1,如在平坦(第一)表面102与相对输出(第二)表面103之间测量。在一项实施例中,硅衬底101经p型掺杂为具有小于约1019cm-3的掺杂级。在另一实施例中,硅衬底101经n型掺杂为具有约1015到1019cm-3之间的掺杂级。场发射器突出部104具有固定基底部分105,所述固定基底部分105一体连接到硅衬底101且延伸远离表面103到尖端(自由端)部分106。在示范性实际实施例中,在垂直于表面103的方向上从基底105到尖端部分106的测量的高度H1在0.5μm到5μm的范围内,优选地不超过约2μm。在一项实施例中,场发射器突出部104通过蚀刻或以其它方式移除形成衬底101的单晶材料的部分(即,使得场发射器突出部104及衬底101的剩余部分为同一单晶结构的剩余部分)使用标准CMOS制造技术制造。例如,形成场发射器突出部104可通过使用等离子体增强化学气相沉积(PECVD)将二氧化硅或氮化硅掩模沉积于衬底101的表面103上方,接着使用光刻图案化表面103的一部分上方的掩模同时曝露围绕经遮蔽部分的表面103的部分,且接着利用干式蚀刻工艺(例如,反应离子蚀刻(RIE)、感应耦合等离子体(ICP)蚀刻或电子回旋共振(ECR)蚀刻)、湿式蚀刻工艺或干式及湿式蚀刻的组合,使得单晶材料的部分被移除,使突出部104被表面103围绕而实现。在替代性特定实施例中,场发射器突出部104可形成为具有各种悬臂形状,例如圆形晶须(具有圆形尖端的圆柱)、圆锥或角锥。
根据本发明的方面,连续基本上纯SiC层110至少密闭地安置于场发射器突出部104的尖端部分106上,使得在操作期间,通过尖端部分106离开衬底101以形成主电子束150的电子仅穿过连续基本上纯SiC层110(即,在SiC层110上邻近于尖端部分106不形成其它材料/层)。如本文使用,词组“密闭地安置”被定义为在几乎无氧气中形成且形成场发射器突出部104的至少尖端(自由端)部分106上的气密密封。如本文使用,相对于SiC层110的术语“连续”被定义为意味着SiC层110跨衬底101的指定部分是完整的,所述部分至少包含从其发射电子的尖端部分106的区。即,来自场发射器突出部104的多数电子发射离开具有(例如)约几十nm的半径的大小的尖端部分106的区,且此区由SiC层110以连续(完整)方式覆盖。在其它实施例中,SiC层110在包含尖端部分106的场发射器突出部104的外围表面的较大部分上方是连续的,或可在包含场发射器突出部104的整个外围表面、围绕场发射器突出部104的基底部分105的输出表面103的一些或全部的甚至更大区域上方是连续的。
可使用各种已知技术来形成连续基本上纯SiC层110。在一项特定实施例中,SiC层110使用对清洁硅的CHF3等离子体处理形成,使得产生具有1nm到10nm范围(包含其间的全部范围及精确到0.1nm的值)内的厚度的保护性、连续SiC层(例如,如由M.长尾(M.Nagao)、T.松川(T.Matsukawa)、S.金丸(S.Kanemaru)及J.伊藤(J.Itoh)在“采用CHF3等离子体处理的Si场发射器的无损真空密封(Damageless vacuum sealing of Si field emitterswith CHF3 plasma treatment)”,J.瓦克科学技术B(J.Vac.Sci.Technol.B),第19卷,第3期,2001年5月/6月,第920到924页描述)。在另一特定实施例中,使用渗碳过程形成SiC层110,即,通过使用高达5×10-3托的压力在850到950℃之间的温度下与乙烯气体反应(例如,如由J.刘(J.Liu)、U.T.索恩(U.T.Son)、A.N.斯捷潘诺娃(A.N.Stepanova)、K.N.克里斯坦森(K.N.Christensen)、G.J.沃亚克(G.J.Wojak)、E.I.吉瓦尔吉佐夫(E.I.Givargizov)、K.J.巴赫曼(K.J.Bachmann)及J.J.赫伦(J.J.Hren)在“通过化学转化为SiC来修改Si场发射器表面(Modification of Si field emitter surfaces by chemical conversion toSiC)”,J.瓦克科学技术B(J.Vac.Sci.Technol.B),第12卷、第2期,1994年3月/4月,第717到721页描述)。当使用这些技术形成时,连续、基本上纯SiC层110通过可靠且密闭地密封硅表面以防氧化而规避硅的氧化问题。SiC层110可包含各种杂质,例如额外硅原子、额外碳原子或氧原子可存在于SiC-Si界面、外层表面中的任一者上或在晶格内。例如,碳硅比可在SiC层110与衬底101之间的界面处变化。注意,SiC层110可包含在SiC层110与突出部104的硅表面之间的界面处保留的几个氧原子百分比(例如小于10%或小于5%),但此氧含量可能因为密闭地密封不会随时间(例如在一年的时段内)明显增大。此低氧硅比意味着,界面处不存在连续二氧化硅层,使得电子能够轻易通过覆盖尖端部分106的SiC层110的部分离开硅表面。注意,紧接在形成SiC层110之后,SiC层110的外表面可由薄层(例如若干单层)覆盖,所述薄层可包括碳、碳氢化合物、氟化碳氢化合物或其它化合物。此薄层可紧接在使用电子场发射器140之前移除。因此,SiC层110可包括1:1(硅碳比)SiC材料,由其构成,或本质上由其构成。基本上纯SiC层110可包括大于75%的1:1SiC材料(例如,在替代性特定实施例中,SiC层110可包含大于80%的1:1SiC材料,大于85%的1:1SiC材料,大于90%的1:1SiC材料,大于95%的1:1SiC材料,大于96%的1:1SiC材料,大于97%的1:1SiC材料,大于98%的1:1SiC材料,或大于99%的1:1SiC材料,其中所有百分比值为原子百分比)。
通过以上述方式产生电子枪140,本发明提供具有经涂覆硅场发射器的冷电子枪,其克服与常规方法相关联的限制。场发射器突出部104的一体连接通过利用在硅衬底101经受足够高(强)以降低尖端部分106外侧的势垒的经施加外部电场EF时产生的场增强,借此电子E能够穿隧通过所述降低的势垒(即,通过量子力学穿隧)而规避硅衬底的相对高功函数。所得电子发射电流密度可通过佛勒-诺德翰理论的经修改版本估计(R.福勒(R.Fowler)及L.诺德海姆(L.Nordheim)的“强电场中的电子发射(Electron emission in intenseelectric fields)”,伦敦皇家学会学报A(Proceedings of the Royal Society ofLondon A),第119卷、第781期,1928年5月,第173到181页)。此外,通过形成连续基本上纯SiC层110,使得其密闭地密封尖端部分106,基本上完全防止场发射器输出表面的氧化,借此避免对由常规方法中的氧化造成的电子逸出的显著屏障。因此,通过产生具有包含在单晶硅衬底101上一体成型且由连续基本上纯SiC层110密闭地保护的突出部104的场发射器阴极141的电子枪140,本发明提供具有硅的有利质量(即,亚1μm波长吸收、高纯度/低缺陷材料及长电子重组时间)的冷电子枪,同时避免之前已防止利用经涂覆硅场发射器的冷电子枪的广泛商用生产的负面方面。
参考图1的中心部分,SEM 100的上柱160包含一或多个聚光透镜165,所述一或多个聚光透镜165微缩主电子束150,使得主电子束150的入射部分主要落于具有约20nm的或更小的标称直径的样本131的上表面上的光点区域内。一或多个任选偏转器167可被放置于聚光透镜165的一个或两个侧上以使用已知技术调整(即,对准、倾斜及/或移位)主电子束150。
下柱170包含最终透镜171,所述最终透镜171经配置以将主电子束150聚焦到样本131上,使得入射电子在预期光点区域内撞击样本131,且下柱170包含接收来自样本131的重新引导的电子的各种偏转器181、182a及182b。下柱170还包含一或多个偏转器172,所述一或多个偏转器172与偏转器167(如果存在)组合工作以使主电子束150遍及样本131的区域进行扫描。在一项实施例中,样本131被放置于载台130上以便促进相对于电子枪140的移动(即,以将样本131的不同表面区定位于电子柱下方)。主电子束150的入射电子撞击样本131的表面且在通过样本131的被撞击表面特征确定的方向上偏转或以其它方式散射,借此产生远离样本131移动的二次电子及反向散射电子(例如,在图1中的向上方向上)。二次电子可由电极180收集且加速且引导到二次电子检测器181以供检测。反向散射电子可由反向散射电子检测器182a及182b检测。在一项实施例中,每一电子检测器181、182a及182b是包含至少一个模/数转换器的固态装置,所述模/数转换器将根据数个经接收电子产生的模拟输出信号转换为数字形式以作为一或多个数字图像数据信号传输到计算机190(即,由二次电子检测器181产生的图像数据信号ID1,及/或由反向散射电子检测器182a或182b产生的信号ID2)。计算机190经配置以处理图像数据信号ID1及ID2,且经配置以产生在其上方使用已知技术使主电子束150进行扫描的样本131的区域的图像191。
虽然图1描绘集成到SEM 100中的电子枪140的配置及操作,但本文公开的电子枪还可被并入其它装置中,例如,例如包含经配置以微缩主电子束且将主电子束聚焦到目标上的电子光学器件的电子束光刻系统,及用于调制电子束的强度的调制器。
图2以部分透视图说明,所述部分透视图展示根据本公开的示范性实施例的包含一体连接到衬底201的场发射器突出部204的电子枪200的阴极部分,且图2A以沿着图2的线2A到2A取得的横截面图展示电子枪200的阴极部分。电子枪200还包含电极,例如面向突出部204的阳极(未展示,但参见图1中的电子枪140)。阳极可与突出部204的尖端部分206分开达在约1微米到几百微米之间的距离。如同在之前实施例中,场发射器阴极形成于具有面向上输出表面203(场发射器突出部204从其延伸)的硅衬底201上,且连续SiC层210安置于输出表面203上且完全覆盖场发射器突出部204。如在图2中指示,场发射器突出部204具有可通过各向异性蚀刻制成的角锥形状,其可具有接近54.7°的倾斜角α(见图2A),这是因为所述角度对应于单晶硅中的(100)平面与(111)平面的相交点。当期望尖锐的场发射器尖端部分206时,可在形成SiC层210之前使用可在低温到适中温度(小于约950℃)下执行的氧化削尖。尖锐尖端部分206的顶点在原子级长度下可包括基本上平坦区域,例如基本上平行于硅晶体的晶面(例如基本上平行于(100)平面)的表面。在图2A中,由尺寸D1指示基底区205的特性横向尺寸(例如,直径),且由尺寸D2指示尖端区206的特性横向尺寸。在优选实施例中,横向尺寸D1与场发射器突出部的高度H1之比(见图3)在约1到约3的范围内,且直径D2可在约5nm到约50nm之间。阳极(未展示)可由金属制成,例如钨、钼及不锈钢等。利用电压源来产生阴极与阳极之间的外部电势差,以引起电子优先移动朝向场发射器突出部204的尖端部分206。电子枪200与典型冷电子枪类似地操作,其中当将强电场(例如在约100Vμm-1到约10kVμm-1之间的场)施加到尖端部分206时,电子高度可能从衬底201发射穿过场发射器204的输出表面203,最常从场发射器204的尖端部分206附近发射。如上文解释,SiC层210使用密闭地密封尖端206以防氧化的过程形成。为确保SiC层210提供良好密闭式密封而不形成针对电子发射的强屏障,SiC层205的厚度T2可在约1nm到10nm之间。尽管几个百分比的氧保持在硅衬底201与SiC层210之间的界面处,但没有更多氧气能够穿透SiC层210,所以在硅/SiC界面附近不发生显著进一步氧化。由于从尖端部分206的顶点附近的较小区发生电子发射,所以尖端部分206附近的SiC层210的质量最重要。因此,根据实施例,在尖端部分206的半径R内(图2A中展示)的SiC层210的一部分210A经形成为不具有针孔,其中半径R等于100nm或更小。在定位于半径R外侧的SiC层210的部分210B中,SiC层210的覆盖范围内的若干针孔或间隙或SiC层210的组合物的改变通常不会影响发射且可忍受。
除了如上文参考SiC层110描述是基本上纯SiC,在尖端部分206的区中是连续的,且形成密闭式密封外,在一些实施例中,SiC层210可形成为具有1nm到2nm范围内的厚度T2,可具有均匀厚度,或可经形成为在场发射器突出部204上具有不同于输出表面203的剩余部分上的厚度。
根据本公开的方面,硅衬底201可包括单晶硅(即,硅的单晶体),所述单晶硅经p型掺杂为具有小于约1019cm-3的掺杂级(即,约0.005Ω·cm或更高的电阻率)。由于少数载子寿命及扩散长度随着掺杂物浓度增大而减小,所以当硅极薄(例如薄于约1μm)时,可使用高于约1019cm-3的掺杂物浓度,而当硅厚于约1μm时,低于约1019cm-3的掺杂物浓度可为优选的。对于厚于几微米的硅(例如10μm或更大的厚度),低得多的掺杂物浓度(例如小于约1014cm-3)可为优选的以确保长载子寿命及低暗电流。由于电子是p型掺杂硅中的少数载子,所以使用p型硅电子枪200的一项实施例包含任选光源220,所述任选光源220经配置以使光224照射通过后侧表面202以在硅衬底201内产生电子-空穴对。光源220优选地包括高强度光源,例如激光二极管或高亮度LED,优选地具有约20nm或更小的带宽。在替代性实施例中,光源220可被放置于衬底201后面以照射明背侧表面202(如所展示),或可经定位以照明输出表面203(即,定位于衬底201上方而非衬底201下方,如在图2A中展示)。当将光源220放置在衬底201下方(如所展示)时,光源220经配置以发射相对较深地穿透到硅中的光波长(例如长于约500nm的波长),以产生远离硅衬底201的底部表面202的电子-空穴对。当光源220从顶侧照明场发射器突出部204时,较浅地穿透到硅中的更短波长(例如短于约550nm的波长)可为优选的以便产生相对靠近场发射器突出部204的尖端部分206的电子-空穴对。在一项实施例中,光源220经配置使得可控制由电子枪200产生的主电子束(例如,参见图1中的束150)的发射电流使之与从光源220透射到硅衬底201中的光224的量成比例。
在替代性实施例中,硅可经n型掺杂为具有约1015cm-3或更大的掺杂物浓度。例如,硅可经n型掺杂为具有约1015cm-3到1019cm-3之间的掺杂物浓度。具有n型掺杂的硅具有在导带中可得的许多电子,其可被吸引朝向场发射器突出部204的尖端部分206以形成发射电流。当使用n型掺杂硅时,可通过调整栅极电极(例如图1中的提取及聚焦电极内的电极或图3中的栅极330)上的电压或通过调整阳极与场发射器阴极之间的电压差而控制发射电流。当使用n型掺杂硅时,可省略任选光源220。在任一情况中,场发射器突出部204可使用上文针对形成场发射器突出部104描述的过程形成。
根据本公开的另一方面,电子枪200的场发射器阴极结构可经配置以如在p-n二极管中那样在反向偏压模式中操作,其中归因于输出表面203处的高电场而产生耗尽层。真空与涂覆SiC、p型掺杂场发射器之间的界面可形成p-n结,其中真空被视为n型电介质。在此情况中,导带及价带将在表面处向下弯曲。如果电场足以使所述导带的最低能阶低于费米能阶,那么在尖端部分206的顶点处将存在丰富电子且产生约1nA到1μA的电流。场发射在经施加电场足够高以降低硅-真空界面上的势垒,使得电子可穿隧通过此屏障(量子力学穿隧)时发生。可通过佛勒-诺德翰理论的经修改版本估计发射电流密度,所述理论考虑到归因于场发射器的场增强因子。
根据本公开的另一方面,SiC层210包括直接安置于场发射器的输出表面上的连续SiC薄膜。如本文中使用,结合SiC与硅界面之词组“直接在…上”希望意味着:除可形成于Si/SiC界面处的SiCx(x<1)的可能薄层(即,数个单分子层)外,不存在分离场发射器阴极的输出表面203与SiC层210的连续中介层(例如,氧化物层或SiNx层)。还应注意,词组“直接在…上”并不排除SiC与硅的一些部分之间存在少量氧化物。使用已知技术使SiC层210在清洁硅上生长,使得SiC至少在场发射器突出部204上形成具有约1nm到10nm范围内的厚度T2(例如约1到4nm,包含其间的全部范围及精确到0.1nm的值)的无针孔涂层。涂层的其它区段可包含在场发射器突出部204上的涂层外侧的针孔缺陷,或涂层可完全无针孔。在例子中,在场发射器突出部204外侧最小化针孔缺陷的存在。
可在形成SiC层210之前通过例如湿式清洁其后接着原位蚀刻从硅移除全部原生氧化物。SiC层210的优点在于:此无针孔涂层在施敷到清洁硅表面时防止在场发射器的输出表面上形成原生氧化物。如先前描述,二氧化硅层具有高能带隙且甚至薄层可阻止大部分电子离开硅。因此,SiC层210甚至允许具有低能量的电子离开硅场发射器突出部204。形成于硅衬底201上的场发射器突出部204规避先前电子发射器的限制,且尖锐的发射器提供场增强及高发射电流。另外,即使硅层在被涂覆时无氧化物,先前硅装置仍无法避免二氧化硅界面层形成于硅与低功函数材料之间。即,在硅上不具有不渗透无针孔保护层的情况下,氧气最终将迁移到硅表面且形成氧化物层。使用SiC形成层210的优点在于:甚至薄的无针孔SiC层不渗透氧气且密闭地密封硅。由于大多数电子发射从场发射器突出部的顶点附近的小区发生,所以可仅需要在场发射器的顶点的几百纳米内没有针孔。SiC层210的另一优势在于在硅-SiC界面处的缺陷及界面陷阱的密度通常低于在硅-二氧化硅界面,从而导致更高的发射电流。
图3以横截面图说明根据第一替代实施例的电子枪300。类似于上文在图2中描述的结构,电子枪300包含通过一体连接到硅衬底201且从输出表面203向上延伸的场发射器突出部204形成的场发射器阴极。电子枪300与之前描述的实施例的不同之处在于:其包含栅极307或控制电极,所述栅极307或控制电极安置于一或多个电介质层306上,使得导电栅极307的内侧边缘307E与场发射器突出部204的尖端部分206间隔达预定距离D3。为了实现发射电流的快速且精确的控制,控制电介质层306的厚度T3,使得栅极307的高度H2类似于场发射器尖端部分206的高度H1(即,相对于定位于场发射器突出部204的周边外侧的输出表面203测量)。因此,电介质层306的厚度T3约等于或小于场发射器突出部204的高度H1。优选地,电介质层306的厚度T3与场发射器突出部204的厚度H1相差达不超过几百nm(例如,使得高度H2在高度H1的±300nm范围内)。例如,可选择厚度T3,使得栅极307具有与场发射器尖端部分206近似相同的高度,或比场发射器尖端部分206高约200nm,或比场发射器尖端部分206低约200nm。电介质层306可包括一或多个电介质材料,例如SiO2或Si3N4。电介质层306安置于硅的顶表面上,包围场发射器突出部204,但未必覆盖场发射器突出部204。在另一例子中,电介质层306部分覆盖场发射器。可通过例如使用PVD、CVD或ALD沉积方法的标准CMOS制造技术来制造栅极307及电介质层306。栅极307可包括金属或多晶硅。最简单的发射器设计是其中仅使用一个栅极307的三极管配置,但其它配置是可能的。此栅极307通常形成于沉积于衬底201上的电介质层306(其包括一或若干绝缘层)的顶部上。可在更复杂的发射器设计中利用两个或两个以上栅极层(未展示),其中将多个电介质层用作这些栅极层中间的间隔件。应注意,电子枪300不限于角锥或圆锥形场发射器且可包括任何形状的场发射器,例如圆形晶须形场发射器或另一形状。在图3的实施例中,从图2中的配置改变或不同于图2中的配置的配置是可能的。
虽然在图3中将SiC层210说明为仅覆盖场发射器突出部204,但SiC层205还可在电介质层306下方延伸。例如,SiC层210可在形成电介质层306之前形成于衬底201的输出表面203上及场发射器突出部204上方。
图4A及4B以横截面图说明根据本公开的其它替代实施例的所公开电子枪,其中利用额外层及结构来进一步增强所公开电子枪结构的有利质量。所说明的示范性实施例并不希望为详尽的,且包含下文描述的额外层及结构的组合的电子枪被理解为是可能的。图4A及4B的实施例可类似于呈阵列布置的图2及3的实施例。因此,SiC层410可类似于SiC层210(上文描述)。应注意,电子枪400A及400B不限于角锥或圆锥形场发射器突出部,可包括任何形状的场发射器突出部,例如圆形晶须形场发射器或另一形状。在图4A及4B的实施例中,从图2及3中的配置改变或除了图2及3中的配置外的配置是可能的。
图4A以横截面图说明根据第二替代实施例的电子枪400A。电子枪400A包含形成于硅衬底401上的场发射器阵列(FEA)阴极及面向FEA阴极的阳极(未展示)。硅衬底401具有:面向上输出(顶部)表面403,其具有布置成二维周期性图案的多个场发射器突出部404-1及404-2;及连续SiC层405,其安置于输出表面403上,从而覆盖场发射器突出部404-1及404-2。场发射器突出部404-1及404-2具有可通过各向异性蚀刻制成的角锥形状,借此每一突出部404-1及404-2具有大体对准输出表面403的基底部分405及尖端部分406。以类似于上文描述的场发射器204的方式,可通过标准CMOS制造技术制造场发射器404-1及404-2。当期望尖锐的场发射器尖端部分406时,可在形成SiC层410之前使用可在低温到适中温度(小于约950℃)下执行的氧化削尖。第二电极(即,阳极,未展示)定位成面向场发射器阴极。阳极可由金属制成,例如钨、钼及不锈钢等。利用电压源来产生FEA阴极与阳极之间的外部电势差,以引起电子优先移动朝向发射器尖端部分406。电子枪400A与典型冷电子枪类似地操作,其中当经适当定位时,电子高度可能从衬底401发射,最常从场发射器突出部404-1及404-2的尖端部分406附近发射穿过FEA的输出表面403。
影响FEA阴极中的场发射器性质的参数是相邻场发射器404-1与404-2之间的间距S。归因于导致到个别发射器中的不足电场穿透的掩模效应,紧密间隔的发射器减小场增强因子。因此,为最小化场掩模效应且优化场发射电流密度,垂直对准发射器突出部404-1及404-2之间的距离或发射器间距S可基本上是大的,例如约数十微米到甚至数十厘米。在例子中,场发射器间隔开从100μm到10cm,包含其间的全部范围及精确到1μm的值。例如,场发射器可间隔开10μm、50μm、100μm、200μm或500μm。发射器的间距可为发射器突出部404-1及404-2的标称高度H1的至少3倍。一般来说,发射器的间距与其被并入的系统的电子光学器件相匹配。为此,可选择在100μm到若干厘米之间的间距。
图4B以横截面图说明根据第三替代实施例的电子枪400B。类似于上文描述的结构,电子枪400B包含形成于硅衬底401上的场发射器阵列(FEA)阴极,所述硅衬底401具有:面向上输出(顶部)表面403,其具有布置成二维周期性图案的多个场发射器突出部404-1及404-2;及连续SiC层405,其安置于输出表面403上,至少在突出部404-1及404-2的尖端部分406上方。电子枪400B与电子枪400A的不同之处在于其包含安置在类似于场发射器突出部404-1及404-2尖端的标称高度H1的高度H2处且通过介电质426附接到衬底401的栅极427(或控制电极)。栅极427允许发射电流的快速且精确的控制。电介质层426安置于硅的顶表面上,包围场发射器突出部404-1及404-2,但不覆盖突出部404-1及404-2的任何部分。在另一例子中,电介质层426部分覆盖一或多个场发射器突出部404-1及404-2。可通过例如使用PVD、CVD或ALD沉积方法的标准CMOS制造技术来制造栅极427或电介质层426。栅极427可包括金属或多晶硅。最简单的发射器设计是其中仅使用一个栅极层的三极管配置,但其它配置是可能的。此栅极427通常形成于沉积于衬底上的绝缘层(介电质426)(其通常为氧化物层)的顶部上。可在更复杂的发射器设计中利用两个或更多个栅极层(未展示),其中绝缘层形成这些栅极层之间的间隔件。栅极427的内侧边缘427E分别与场发射器突出部404-1及404-2的尖端部分406间隔达预定距离D3。
可通过众所周知的佛勒-诺德翰穿隧描述来自硅场发射器的场发射。与经施加电场相比,发射器尖端处的局部场增强达场增强因子。在外部电场穿透到半导体中时,近表面区中的载子浓度改变。
对于高静电偏压场(例如107V cm-1),p型场发射器的导带将在表面处退化,且耗尽区(其中费米能阶位于能隙的中间)将产生于p型内部与n型表面之间。此导致此区中的电子与空穴的最小浓度,类似于反向偏压p-n结的情况。
当阴极包括n型硅或当将包括p型硅的阴极操作为光电阴极时,经施加静电场的仅需足够强以使导带及价带在尖端处向下弯曲,但无需使导带弯曲到低于费米能阶。运用此经施加静电场,少数电子将自发产生且大多数发射电流将来自从n型硅注入到尖端附近的区中的电子或来自由光吸收产生的电子-空穴对。
在先前硅场发射器中,硅表面上至少将存在薄氧化物层。此氧化物(即使仅约2nm厚)表示对试图逸出的任何电子的大屏障。二氧化硅的能带隙是大约9eV。此大的能带隙导致氧化物内的导带的局部峰值,其比硅内的导带高数eV。所公开场发射器表面上的SiC层阻止氧气或水到达硅表面且防止氧化物层的生长,因此实现高效电子枪。
在一项实施例中,此硅场发射器在接近室温的温度下操作以最小化经发射电子的能量散布。在另一实施例中,当可容忍较大能量散布时,有益地在高温(例如在约400K到约1000K之间的温度)下操作硅场发射器以减少黏附到场发射器的表面的污染且允许在较不清洁的真空环境中操作硅场发射器。
所属领域的技术人员将明白对所描述实施例的各种修改,且本文中定义的一般原理可应用于其它实施例。例如,额外电极可被放置成靠近电子发射器以控制发射及/或在特定方向上聚焦且引导经发射电子。尽管预期本文中公开的包括硅场发射器的电子枪将在各种种类的扫描电子显微镜及电子束光刻系统中尤其有用,但还可设想这些电子枪可用于其中需要高辐射及/或高电流电子束的其它应用中。
本文中描述的电子枪及制造方法并不希望受限于所展示及描述的特定实施例,而将符合与本文所公开的原理及新颖特征一致的最广范围。
尽管已关于一或多个特定实施例描述本公开,但将理解,可在不脱离本公开的范围的情况下进行本公开的其它实施例。因此,本公开被视为仅受限于随附权利要求书及其合理解释。

Claims (18)

1.一种电子枪,其包含经配置以产生主电子束的场发射器,其中所述场发射器包括:
单晶硅衬底,其具有相对第一及第二表面且包含至少一个一体场发射器突出部,所述场发射器突出部具有一体连接到所述硅衬底且从所述第二表面延伸到尖端部分的固定部分,
碳化硅SiC层,其至少密闭地安置于所述场发射器突出部的所述尖端部分上,使得在操作期间,通过所述尖端部分离开所述单晶硅衬底以形成所述主电子束的电子仅穿过所述SiC层,
其中所述SiC层包括至少75%SiC,及
其中定位于距所述尖端部分100nm的半径内的所述SiC层的一部分包括小于10原子%氧。
2.根据权利要求1所述的电子枪,其中所述单晶硅衬底经p型掺杂为具有小于1019cm-3的掺杂级。
3.根据权利要求1所述的电子枪,其中所述SiC层包括大于90原子%SiC。
4.一种电子枪,其包含经配置以产生主电子束的场发射器,其中所述场发射器包括:
单晶硅衬底,其具有相对第一及第二表面且包含至少一个一体场发射器突出部,所述场发射器突出部具有一体连接到所述硅衬底且从所述第二表面延伸到尖端部分的固定部分;
碳化硅SiC层,其至少密闭地安置于所述场发射器突出部的所述尖端部分上,使得在操作期间,通过所述尖端部分离开所述单晶硅衬底以形成所述主电子束的电子仅穿过所述SiC层,其中所述SiC层包括至少75%SiC;及
用于在操作期间将所述场发射器维持在小于10-10托的真空等级的构件。
5.根据权利要求1所述的电子枪,其中所述单晶硅衬底经n型掺杂为具有1015cm-3到1019cm-3之间的掺杂级。
6.一种电子枪,其包含经配置以产生主电子束的场发射器,其中所述场发射器包括:
单晶硅衬底,其具有相对第一及第二表面且包含至少一个一体场发射器突出部,所述场发射器突出部具有一体连接到所述硅衬底且从所述第二表面延伸到尖端部分的固定部分;及
碳化硅SiC层,其至少密闭地安置于所述场发射器突出部的所述尖端部分上,使得在操作期间,通过所述尖端部分离开所述单晶硅衬底以形成所述主电子束的电子仅穿过所述SiC层,
其中所述SiC层包括至少75%SiC,及
其中所述单晶硅衬底具有大于10μm的厚度且经p型掺杂为具有小于1014cm-3的掺杂级。
7.根据权利要求6所述的电子枪,其进一步包括经配置以照明所述单晶硅衬底的所述第一表面的光源,其中所述光源包括激光二极管及发光二极管中的一者,其经配置使得能够控制所述主电子束的发射电流使之与从所述光源传输到所述单晶硅衬底中的光的量成比例。
8.根据权利要求1所述的电子枪,其中所述SiC层具有1nm到10nm范围内的厚度。
9.根据权利要求1所述的电子枪,其中所述场发射器突出部包括圆锥、角锥及圆形晶须中的一者;且
其中所述场发射器突出部的所述尖端部分具有小于50nm的横向尺寸。
10.根据权利要求9所述的电子枪,其中所述场发射器突出部的所述尖端部分具有大于5nm的横向尺寸。
11.根据权利要求1所述的电子枪,
其中所述场发射器突出部包括圆锥、角锥及圆形晶须中的一者;且
其中所述场发射器突出部的所述尖端部分具有小于50nm的直径。
12.根据权利要求1所述的电子枪,其中所述场发射器经配置以在反向偏压模式中操作,其中响应于经施加电场而邻近于所述第二表面产生耗尽层。
13.根据权利要求1所述的电子枪,其中所述场发射器进一步包括:
电介质层,其经安置于所述单晶硅衬底的所述第二表面上,邻近于所述场发射器突出部;及
导电栅极,其经安置于所述电介质层上,使得所述导电栅极的边缘与所述场发射器突出部的所述尖端部分间隔达预定距离,
其中所述电介质层的厚度在所述场发射器突出部的高度的±300nm范围内。
14.根据权利要求1所述的电子枪,其进一步包括经布置成二维周期性图案的多个所述场发射器突出部,每一所述场发射器突出部具有一体连接到所述硅衬底且从所述第二表面延伸到尖端部分的相关联所述固定部分,其中所述SiC层至少密闭地安置于所述多个场发射器突出部中的每一者的所述尖端部分上。
15.根据权利要求14所述的电子枪,其中所述场发射器进一步包括:
电介质层,其经安置于所述单晶硅衬底的所述第二表面上,邻近于所述多个所述场发射器突出部;及
导电栅极,其经安置于所述电介质层上,使得所述导电栅极的边缘与所述多个场发射器突出部中的每一者的所述尖端部分间隔达预定距离,
其中所述电介质层的厚度在所述多个场发射器突出部的标称高度的±200nm范围内。
16.一种扫描电子显微镜SEM,其包括:
电子枪,其包含经配置以产生主电子束的场发射器;
电子光学器件,其经配置以微缩所述主电子束且将其聚焦到样本上;及
检测器,其经配置以响应于所述主电子束而检测反向散射电子及从所述样本透射的二次电子中的至少一者,
其中所述场发射器包括:
单晶硅衬底,其具有相对第一及第二表面且包含至少一个一体场发射器突出部,所述场发射器突出部具有一体连接到所述硅衬底且从所述第二表面延伸到尖端部分的固定部分,
碳化硅SiC层,其至少密闭地安置于所述场发射器突出部的所述尖端部分上,使得在操作期间,通过所述尖端部分离开所述单晶硅衬底以形成所述主电子束的电子仅穿过所述SiC层,
其中所述SiC层包括至少75%SiC,及
其中定位于距所述尖端部分100nm的半径内的所述SiC层的一部分包括小于10原子%氧。
17.一种包含电子枪的装置,所述电子枪包含经配置以产生主电子束的场发射器,其中所述场发射器包括:
单晶硅衬底,其具有相对第一及第二表面且包含至少一个一体场发射器突出部,所述场发射器突出部具有一体连接到所述硅衬底且从所述第二表面延伸到尖端部分的固定部分;及
碳化硅SiC层,其至少密闭地安置于所述场发射器突出部的所述尖端部分上,使得在操作期间,通过所述尖端部分离开所述单晶硅衬底以形成所述主电子束的电子仅穿过所述SiC层,
其中所述SiC层包括至少75%SiC,及
其中定位于距所述尖端部分100nm的半径内的所述SiC层的一部分包括小于10原子%氧。
18.根据权利要求17所述的装置,其中所述装置包括扫描电子显微镜SEM系统及电子束光刻系统中的一者。
CN201980061438.1A 2018-10-12 2019-09-28 电子枪及电子显微镜 Active CN112740355B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201862744890P 2018-10-12 2018-10-12
US62/744,890 2018-10-12
US201962885624P 2019-08-12 2019-08-12
US62/885,624 2019-08-12
US16/568,110 2019-09-11
US16/568,110 US10943760B2 (en) 2018-10-12 2019-09-11 Electron gun and electron microscope
PCT/US2019/053707 WO2020076528A1 (en) 2018-10-12 2019-09-28 Electron gun and electron microscope

Publications (2)

Publication Number Publication Date
CN112740355A CN112740355A (zh) 2021-04-30
CN112740355B true CN112740355B (zh) 2023-12-19

Family

ID=70160400

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980061438.1A Active CN112740355B (zh) 2018-10-12 2019-09-28 电子枪及电子显微镜

Country Status (5)

Country Link
US (1) US10943760B2 (zh)
KR (1) KR20210097111A (zh)
CN (1) CN112740355B (zh)
TW (1) TWI826547B (zh)
WO (1) WO2020076528A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11417492B2 (en) 2019-09-26 2022-08-16 Kla Corporation Light modulated electron source
US11719652B2 (en) 2020-02-04 2023-08-08 Kla Corporation Semiconductor metrology and inspection based on an x-ray source with an electron emitter array
US20230298847A1 (en) * 2022-03-18 2023-09-21 Kla Corporation Electron gun and electron microscope

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1096132A (zh) * 1993-04-05 1994-12-07 佳能株式会社 电子源和成像装置
TW442938B (en) * 1999-08-16 2001-06-23 Samsung Electronics Co Ltd Electron beam irradiating apparatus having cathode plate formed of non-metal conductive material
US6517405B1 (en) * 1999-11-10 2003-02-11 National Science Council Process for forming a film on a substrate having a field emitter
JP2006120431A (ja) * 2004-10-21 2006-05-11 Yyl:Kk 電子ビーム装置
CN107851545A (zh) * 2015-08-14 2018-03-27 科磊股份有限公司 电子源

Family Cites Families (216)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US133181A (en) * 1872-11-19 Improvement in car-couplings
US3755704A (en) 1970-02-06 1973-08-28 Stanford Research Inst Field emission cathode structures and devices utilizing such structures
US3870917A (en) 1971-05-10 1975-03-11 Itt Discharge device including channel type electron multiplier having ion adsorptive layer
GB1444951A (en) 1973-06-18 1976-08-04 Mullard Ltd Electronic solid state devices
GB1536412A (en) 1975-05-14 1978-12-20 English Electric Valve Co Ltd Photocathodes
US4210922A (en) 1975-11-28 1980-07-01 U.S. Philips Corporation Charge coupled imaging device having selective wavelength sensitivity
NL7611593A (nl) 1976-10-20 1978-04-24 Optische Ind De Oude Delft Nv Werkwijze voor het in een beeldversterkerbuis aanbrengen van een lichtabsorberende, voor elek- tronen doorlaatbare laag.
JPS58146B2 (ja) 1980-10-14 1983-01-05 浜松テレビ株式会社 フレ−ミング管
US4348690A (en) 1981-04-30 1982-09-07 Rca Corporation Semiconductor imagers
US4555731A (en) 1984-04-30 1985-11-26 Polaroid Corporation Electronic imaging camera with microchannel plate
US4760031A (en) 1986-03-03 1988-07-26 California Institute Of Technology Producing CCD imaging sensor with flashed backside metal film
DE69027960T2 (de) 1989-09-04 1997-01-09 Canon Kk Elektronen emittierendes Element und Verfahren zur Herstellung desselben
NL8902271A (nl) 1989-09-12 1991-04-02 Philips Nv Werkwijze voor het verbinden van twee lichamen.
US5120949A (en) 1991-01-17 1992-06-09 Burle Technologies, Inc. Semiconductor anode photomultiplier tube
JP2828221B2 (ja) 1991-06-04 1998-11-25 インターナショナル・ビジネス・マシーンズ・コーポレイション レーザー光波長変換装置
US5144630A (en) 1991-07-29 1992-09-01 Jtt International, Inc. Multiwavelength solid state laser using frequency conversion techniques
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
US5382867A (en) 1991-10-02 1995-01-17 Sharp Kabushiki Kaisha Field-emission type electronic device
US5199917A (en) 1991-12-09 1993-04-06 Cornell Research Foundation, Inc. Silicon tip field emission cathode arrays and fabrication thereof
US5229331A (en) 1992-02-14 1993-07-20 Micron Technology, Inc. Method to form self-aligned gate structures around cold cathode emitter tips using chemical mechanical polishing technology
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5227313A (en) 1992-07-24 1993-07-13 Eastman Kodak Company Process for making backside illuminated image sensors
US5315126A (en) 1992-10-13 1994-05-24 Itt Corporation Highly doped surface layer for negative electron affinity devices
US5326978A (en) 1992-12-17 1994-07-05 Intevac, Inc. Focused electron-bombarded detector
US5475227A (en) 1992-12-17 1995-12-12 Intevac, Inc. Hybrid photomultiplier tube with ion deflector
US5363021A (en) 1993-07-12 1994-11-08 Cornell Research Foundation, Inc. Massively parallel array cathode
US5559389A (en) * 1993-09-08 1996-09-24 Silicon Video Corporation Electron-emitting devices having variously constituted electron-emissive elements, including cones or pedestals
FI940740A0 (fi) 1994-02-17 1994-02-17 Arto Salokatve Detektor foer paovisning av fotoner eller partiklar, foerfarande foer framstaellning av detektorn och maetningsfoerfarande
US6271916B1 (en) 1994-03-24 2001-08-07 Kla-Tencor Corporation Process and assembly for non-destructive surface inspections
US5402749A (en) 1994-05-03 1995-04-04 The United States Of America As Represented By The Secretary Of The Navy Ultra-high vacuum/chemical vapor deposition of epitaxial silicon-on-sapphire
US5493176A (en) 1994-05-23 1996-02-20 Siemens Medical Systems, Inc. Photomultiplier tube with an avalanche photodiode, a flat input end and conductors which simulate the potential distribution in a photomultiplier tube having a spherical-type input end
US20080315092A1 (en) 1994-07-28 2008-12-25 General Nanotechnology Llc Scanning probe microscopy inspection and modification system
EP0702221A3 (en) 1994-09-14 1997-05-21 Delco Electronics Corp Sensor integrated on a chip
JPH08241977A (ja) 1995-03-03 1996-09-17 Hamamatsu Photonics Kk 半導体装置の製造方法
US5713775A (en) * 1995-05-02 1998-02-03 Massachusetts Institute Of Technology Field emitters of wide-bandgap materials and methods for their fabrication
DE19618593A1 (de) 1995-05-19 1996-11-21 Heidenhain Gmbh Dr Johannes Strahlungsempfindliches Detektorelement und Verfahren zur Herstellung desselben
US5731584A (en) 1995-07-14 1998-03-24 Imec Vzw Position sensitive particle sensor and manufacturing method therefor
US6362484B1 (en) 1995-07-14 2002-03-26 Imec Vzw Imager or particle or radiation detector and method of manufacturing the same
CA2227322A1 (en) * 1995-08-04 1997-02-20 Printable Field Emitters Limited Field electron emission materials and devices
US6031250A (en) * 1995-12-20 2000-02-29 Advanced Technology Materials, Inc. Integrated circuit devices and methods employing amorphous silicon carbide resistor materials
US5717518A (en) 1996-07-22 1998-02-10 Kla Instruments Corporation Broad spectrum ultraviolet catadioptric imaging system
US5999310A (en) 1996-07-22 1999-12-07 Shafer; David Ross Ultra-broadband UV microscope imaging system with wide range zoom capability
US5742626A (en) 1996-08-14 1998-04-21 Aculight Corporation Ultraviolet solid state laser, method of using same and laser surgery apparatus
US5760899A (en) 1996-09-04 1998-06-02 Erim International, Inc. High-sensitivity multispectral sensor
US6201257B1 (en) 1996-10-10 2001-03-13 Advanced Scientific Concepts, Inc. Semiconductor X-ray photocathodes devices
JP3745844B2 (ja) * 1996-10-14 2006-02-15 浜松ホトニクス株式会社 電子管
US5940685A (en) 1996-10-28 1999-08-17 The United States Of America As Represented By The Secretary Of The Air Force Fabrication of UV-sensitive back illuminated CCD image sensors
JPH10171965A (ja) 1996-12-05 1998-06-26 Toshiba Corp 積算型エリアセンサの画像入力方法及びその装置
US5828163A (en) * 1997-01-13 1998-10-27 Fed Corporation Field emitter device with a current limiter structure
US6193870B1 (en) * 1997-05-01 2001-02-27 The Regents Of The University Of California Use of a hard mask for formation of gate and dielectric via nanofilament field emission devices
US6107619A (en) 1997-07-14 2000-08-22 California Institute Of Technology Delta-doped hybrid advanced detector for low energy particle detection
US6608676B1 (en) 1997-08-01 2003-08-19 Kla-Tencor Corporation System for detecting anomalies and/or features of a surface
US6201601B1 (en) 1997-09-19 2001-03-13 Kla-Tencor Corporation Sample inspection system
US5965898A (en) * 1997-09-25 1999-10-12 Fed Corporation High aspect ratio gated emitter structure, and method of making
US6403963B1 (en) 1997-09-29 2002-06-11 California Institute Of Technology Delta-doped CCD's as low-energy particle detectors and imagers
US6278119B1 (en) 1997-10-21 2001-08-21 California Institute Of Technology Using a delta-doped CCD to determine the energy of a low-energy particle
US6255771B1 (en) * 1997-12-04 2001-07-03 Emagin Corporation Flashover control structure for field emitter displays and method of making thereof
US6297879B1 (en) 1998-02-27 2001-10-02 Micron Technology, Inc. Inspection method and apparatus for detecting defects on photomasks
US6376985B2 (en) 1998-03-31 2002-04-23 Applied Materials, Inc. Gated photocathode for controlled single and multiple electron beam emission
US6765217B1 (en) 1998-04-28 2004-07-20 Nikon Corporation Charged-particle-beam mapping projection-optical systems and methods for adjusting same
US6162707A (en) 1998-05-18 2000-12-19 The Regents Of The University Of California Low work function, stable thin films
US6373869B1 (en) 1998-07-30 2002-04-16 Actinix System and method for generating coherent radiation at ultraviolet wavelengths
US6013399A (en) 1998-12-04 2000-01-11 Advanced Micro Devices, Inc. Reworkable EUV mask materials
US6535531B1 (en) 2001-11-29 2003-03-18 Cymer, Inc. Gas discharge laser with pulse multiplier
US6657178B2 (en) 1999-07-20 2003-12-02 Intevac, Inc. Electron bombarded passive pixel sensor imaging
US6285018B1 (en) 1999-07-20 2001-09-04 Intevac, Inc. Electron bombarded active pixel sensor
US6307586B1 (en) 1999-07-20 2001-10-23 Intevac, Inc. Electron bombarded active pixel sensor camera incorporating gain control
US6353286B1 (en) * 1999-10-08 2002-03-05 Motorola, Inc Field emission display having a multi-layered barrier structure
US6549647B1 (en) 2000-01-07 2003-04-15 Cyberoptics Corporation Inspection system with vibration resistant video capture
US6692323B1 (en) * 2000-01-14 2004-02-17 Micron Technology, Inc. Structure and method to enhance field emission in field emitter device
US6711283B1 (en) 2000-05-03 2004-03-23 Aperio Technologies, Inc. Fully automatic rapid microscope slide scanner
JP2002033473A (ja) 2000-07-17 2002-01-31 Hamamatsu Photonics Kk 半導体装置
US6879390B1 (en) 2000-08-10 2005-04-12 Kla-Tencor Technologies Corporation Multiple beam inspection apparatus and method
US6507147B1 (en) 2000-08-31 2003-01-14 Intevac, Inc. Unitary vacuum tube incorporating high voltage isolation
JP2002184302A (ja) 2000-12-18 2002-06-28 Hamamatsu Photonics Kk 半導体光電陰極
US6545281B1 (en) 2001-07-06 2003-04-08 The United States Of America As Represented By The United States Department Of Energy Pocked surface neutron detector
JP3573725B2 (ja) 2001-08-03 2004-10-06 川崎重工業株式会社 X線顕微鏡装置
JP2003043533A (ja) 2001-08-03 2003-02-13 Kitakyushu Foundation For The Advancement Of Industry Science & Technology レーザーの第二高調波の方向を一定に保つための自動追尾装置
US6747258B2 (en) 2001-10-09 2004-06-08 Itt Manufacturing Enterprises, Inc. Intensified hybrid solid-state sensor with an insulating layer
US7015452B2 (en) 2001-10-09 2006-03-21 Itt Manufacturing Enterprises, Inc. Intensified hybrid solid-state sensor
AU2002356951A1 (en) 2001-11-13 2003-05-26 Nanosciences Corporation Photocathode
US7130039B2 (en) 2002-04-18 2006-10-31 Kla-Tencor Technologies Corporation Simultaneous multi-spot inspection and imaging
JP4165129B2 (ja) 2002-06-21 2008-10-15 三菱電機株式会社 裏面入射型固体撮像素子
US20040021061A1 (en) 2002-07-30 2004-02-05 Frederik Bijkerk Photodiode, charged-coupled device and method for the production
US20040037972A1 (en) * 2002-08-22 2004-02-26 Kang Simon Patterned granulized catalyst layer suitable for electron-emitting device, and associated fabrication method
US6864162B2 (en) 2002-08-23 2005-03-08 Samsung Electronics Co., Ltd. Article comprising gated field emission structures with centralized nanowires and method for making the same
DE10245052A1 (de) 2002-09-26 2004-04-08 Leo Elektronenmikroskopie Gmbh Elektronenstrahlquelle und elektronenoptischer Apparat mit einer solchen
US7446474B2 (en) 2002-10-10 2008-11-04 Applied Materials, Inc. Hetero-junction electron emitter with Group III nitride and activated alkali halide
US7283166B1 (en) 2002-10-15 2007-10-16 Lockheed Martin Corporation Automatic control method and system for electron bombarded charge coupled device (“EBCCD”) sensor
US7126699B1 (en) 2002-10-18 2006-10-24 Kla-Tencor Technologies Corp. Systems and methods for multi-dimensional metrology and/or inspection of a specimen
US6855645B2 (en) 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
US7005637B2 (en) 2003-01-31 2006-02-28 Intevac, Inc. Backside thinning of image array devices
US6990385B1 (en) 2003-02-03 2006-01-24 Kla-Tencor Technologies Corporation Defect detection using multiple sensors and parallel processing
GB2398118B (en) 2003-02-07 2006-03-15 Imp College Innovations Ltd Photon arrival time detection
US7141785B2 (en) 2003-02-13 2006-11-28 Micromass Uk Limited Ion detector
US7957066B2 (en) 2003-02-21 2011-06-07 Kla-Tencor Corporation Split field inspection system using small catadioptric objectives
EP1594150B1 (en) 2003-03-28 2011-07-13 Sumitomo Electric Industries, Ltd. Cold-cathode electron source, microwave tube using this, and its manufacturing method
US7813406B1 (en) 2003-10-15 2010-10-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Temporal laser pulse manipulation using multiple optical ring-cavities
US7321468B2 (en) 2003-12-15 2008-01-22 Carl Zeiss Laser Optics Gmbh Method and optical arrangement for beam guiding of a light beam with beam delay
US7313155B1 (en) 2004-02-12 2007-12-25 Liyue Mu High power Q-switched laser for soft tissue ablation
JP5082186B2 (ja) * 2004-03-29 2012-11-28 住友電気工業株式会社 炭素系材料突起の形成方法及び炭素系材料突起
WO2005098895A2 (en) 2004-04-02 2005-10-20 California Institute Of Technology Method and system for ultrafast photoelectron microscope
JP4365255B2 (ja) 2004-04-08 2009-11-18 浜松ホトニクス株式会社 発光体と、これを用いた電子線検出器、走査型電子顕微鏡及び質量分析装置
US7301263B2 (en) 2004-05-28 2007-11-27 Applied Materials, Inc. Multiple electron beam system with electron transmission gates
KR100688497B1 (ko) 2004-06-28 2007-03-02 삼성전자주식회사 이미지 센서 및 그 제조방법
US7455565B2 (en) 2004-10-13 2008-11-25 The Board Of Trustees Of The Leland Stanford Junior University Fabrication of group III-nitride photocathode having Cs activation layer
US7187755B2 (en) 2004-11-02 2007-03-06 General Electric Company Electron emitter assembly and method for generating electron beams
US7952633B2 (en) 2004-11-18 2011-05-31 Kla-Tencor Technologies Corporation Apparatus for continuous clocking of TDI sensors
US7609309B2 (en) 2004-11-18 2009-10-27 Kla-Tencor Technologies Corporation Continuous clocking of TDI sensors
US7432517B2 (en) 2004-11-19 2008-10-07 Asml Netherlands B.V. Pulse modifier, lithographic apparatus, and device manufacturing method
JP4751617B2 (ja) 2005-01-21 2011-08-17 株式会社日立ハイテクノロジーズ 欠陥検査方法及びその装置
EP1716964B1 (en) 2005-04-28 2009-01-21 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device and laser irradiation apparatus
US7531826B2 (en) 2005-06-01 2009-05-12 Intevac, Inc. Photocathode structure and operation
US7345825B2 (en) 2005-06-30 2008-03-18 Kla-Tencor Technologies Corporation Beam delivery system for laser dark-field illumination in a catadioptric optical system
US7326328B2 (en) 2005-07-19 2008-02-05 General Electric Company Gated nanorod field emitter structures and associated methods of fabrication
JP4491391B2 (ja) 2005-08-05 2010-06-30 株式会社日立ハイテクノロジーズ 欠陥検査装置及び欠陥検査方法
JP5403852B2 (ja) 2005-08-12 2014-01-29 株式会社荏原製作所 検出装置及び検査装置
US7864425B2 (en) 2005-09-16 2011-01-04 Panasonic Corporation Composite material and optical component using the same
JP4925085B2 (ja) 2005-09-20 2012-04-25 株式会社メガオプト 深紫外レーザー光の発生方法および深紫外レーザー装置
JP5242399B2 (ja) 2005-09-21 2013-07-24 アール・ジェイ・エス・テクノロジー・インコーポレイテッド ゲインを制御した高ダイナミックレンジ感度センサ素子またはアレイのためのシステムおよび方法
JP4894223B2 (ja) * 2005-10-26 2012-03-14 ソニー株式会社 平面型表示装置
US7715459B2 (en) 2005-11-01 2010-05-11 Cymer, Inc. Laser system
JP2007133102A (ja) 2005-11-09 2007-05-31 Canon Inc 反射防止膜を有する光学素子及びそれを有する露光装置
US7528943B2 (en) 2005-12-27 2009-05-05 Kla-Tencor Technologies Corporation Method and apparatus for simultaneous high-speed acquisition of multiple images
JP2007193190A (ja) * 2006-01-20 2007-08-02 Sony Corp 平面型表示装置の駆動方法
JP4911494B2 (ja) 2006-03-18 2012-04-04 国立大学法人大阪大学 波長変換光学素子、波長変換光学素子の製造方法、波長変換装置、紫外線レーザ照射装置およびレーザ加工装置
WO2007112058A2 (en) 2006-03-24 2007-10-04 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial firm formation
US7113325B1 (en) 2006-05-03 2006-09-26 Mitsubishi Materials Corporation Wavelength conversion method with improved conversion efficiency
WO2007146938A2 (en) 2006-06-13 2007-12-21 Invent Technologies Llc Apparatus and method for deep ultraviolet optical microscopy
US7457330B2 (en) 2006-06-15 2008-11-25 Pavilion Integration Corporation Low speckle noise monolithic microchip RGB lasers
US8482197B2 (en) 2006-07-05 2013-07-09 Hamamatsu Photonics K.K. Photocathode, electron tube, field assist type photocathode, field assist type photocathode array, and field assist type electron tube
US7791170B2 (en) 2006-07-10 2010-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a deep junction for electrical crosstalk reduction of an image sensor
US7800040B2 (en) 2006-09-21 2010-09-21 California Institute Of Technology Method for growing a back surface contact on an imaging detector used in conjunction with back illumination
KR100826407B1 (ko) 2006-10-12 2008-05-02 삼성전기주식회사 자외선 수광용 포토 다이오드 및 이를 포함하는 이미지센서
KR100874954B1 (ko) 2006-12-04 2008-12-19 삼성전자주식회사 후면 수광 이미지 센서
US20080173903A1 (en) 2006-12-28 2008-07-24 Fujifilm Corporation Solid-state image pickup element
JP5342769B2 (ja) 2006-12-28 2013-11-13 浜松ホトニクス株式会社 光電陰極、電子管及び光電子増倍管
US9771666B2 (en) 2007-01-17 2017-09-26 Crystal Is, Inc. Defect reduction in seeded aluminum nitride crystal growth
CN107059116B (zh) 2007-01-17 2019-12-31 晶体公司 引晶的氮化铝晶体生长中的缺陷减少
US20080239105A1 (en) 2007-03-30 2008-10-02 Weize Xu Sample and hold circuits for cmos imagers
US8755417B1 (en) 2007-04-16 2014-06-17 Kla-Tencor Corporation Coherent light generation below about two-hundred nanometers
US20110073982A1 (en) 2007-05-25 2011-03-31 Armstrong J Joseph Inspection system using back side illuminated linear sensor
US8665536B2 (en) 2007-06-19 2014-03-04 Kla-Tencor Corporation External beam delivery system for laser dark-field illumination in a catadioptric optical system
US7586108B2 (en) 2007-06-25 2009-09-08 Asml Netherlands B.V. Radiation detector, method of manufacturing a radiation detector and lithographic apparatus comprising a radiation detector
US8138485B2 (en) 2007-06-25 2012-03-20 Asml Netherlands B.V. Radiation detector, method of manufacturing a radiation detector, and lithographic apparatus comprising a radiation detector
US8848199B2 (en) 2007-07-10 2014-09-30 Massachusetts Institute Of Technology Tomographic phase microscopy
WO2009012222A1 (en) 2007-07-13 2009-01-22 Purdue Research Foundation Time-resolved raman spectroscopy
US7999342B2 (en) 2007-09-24 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd Image sensor element for backside-illuminated sensor
JP5039495B2 (ja) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
US7525649B1 (en) 2007-10-19 2009-04-28 Kla-Tencor Technologies Corporation Surface inspection system using laser line illumination with two dimensional imaging
JP5132262B2 (ja) 2007-11-02 2013-01-30 三菱電機株式会社 裏面入射型リニアイメージセンサ、その駆動方法、及びその製造方法
US7741666B2 (en) 2008-02-08 2010-06-22 Omnivision Technologies, Inc. Backside illuminated imaging sensor with backside P+ doped layer
WO2009154731A2 (en) 2008-06-17 2009-12-23 Kla-Tencor Corporation External beam delivery system using catadioptric objective with aspheric surfaces
US20120170021A1 (en) 2008-09-02 2012-07-05 Phillip Walsh Method and apparatus for providing multiple wavelength reflectance magnitude and phase for a sample
US7875948B2 (en) 2008-10-21 2011-01-25 Jaroslav Hynecek Backside illuminated image sensor
US7880127B2 (en) 2008-10-27 2011-02-01 Itt Manufacturing Enterprises, Inc. Apparatus and method for aligning an image sensor including a header alignment means
TW201019370A (en) * 2008-11-14 2010-05-16 Tatung Co Field emission cathode plate and method for manufacturing the same
SG172124A1 (en) 2008-12-16 2011-07-28 Hiok Nam Tay Noise-cancelling image sensors
US7888171B2 (en) * 2008-12-22 2011-02-15 Raytheon Company Fabricating a gallium nitride layer with diamond layers
US8017427B2 (en) 2008-12-31 2011-09-13 Omnivision Technologies, Inc. Backside-illuminated (BSI) image sensor with backside diffusion doping
JP2012516023A (ja) 2009-01-22 2012-07-12 ビーエイイー・システムズ・インフォメーション・アンド・エレクトロニック・システムズ・インテグレイション・インコーポレーテッド コーナーキューブにより改善された光電陰極
US8624971B2 (en) 2009-01-23 2014-01-07 Kla-Tencor Corporation TDI sensor modules with localized driving and signal processing circuitry for high speed inspection
US8625012B2 (en) 2009-02-05 2014-01-07 The Hong Kong University Of Science And Technology Apparatus and method for improving dynamic range and linearity of CMOS image sensor
US20100301437A1 (en) 2009-06-01 2010-12-02 Kla-Tencor Corporation Anti-Reflective Coating For Sensors Suitable For High Throughput Inspection Systems
US7985658B2 (en) 2009-06-08 2011-07-26 Aptina Imaging Corporation Method of forming substrate for use in imager devices
JP5748748B2 (ja) 2009-06-19 2015-07-15 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation 極紫外線検査システム
WO2010149403A1 (en) 2009-06-22 2010-12-29 Asml Netherlands B.V. Object inspection systems and methods
US9023152B2 (en) 2009-09-17 2015-05-05 Kla-Tencor Corporation CLBO crystal growth
US8629384B1 (en) 2009-10-26 2014-01-14 Kla-Tencor Corporation Photomultiplier tube optimized for surface inspection in the ultraviolet
WO2011081892A2 (en) 2009-12-15 2011-07-07 Saint-Gobain Ceramics & Plastics, Inc. Radiation detection system and method of analyzing an electrical pulse output by a radiation detector
EP2346094A1 (en) 2010-01-13 2011-07-20 FEI Company Method of manufacturing a radiation detector
WO2011091159A1 (en) 2010-01-21 2011-07-28 Roper Scientific, Inc. Solid state back- illuminated photon sensor and its method of fabrication
EP2525824B1 (en) 2010-01-22 2017-04-26 The Board of Trustees of the Leland Stanford Junior University Inhibition of axl signaling in anti-metastatic therapy
US8558234B2 (en) 2010-02-11 2013-10-15 California Institute Of Technology Low voltage low light imager and photodetector
WO2011123469A1 (en) 2010-03-29 2011-10-06 Intevac, Inc. Time resolved photoluminescence imaging systems and methods for photovoltaic cell inspection
US8269223B2 (en) 2010-05-27 2012-09-18 The United States Of America As Represented By The Secretary Of The Army Polarization enhanced avalanche photodetector and method thereof
US8310021B2 (en) 2010-07-13 2012-11-13 Honeywell International Inc. Neutron detector with wafer-to-wafer bonding
US8605173B2 (en) 2010-08-16 2013-12-10 SK Hynix Inc. Differential column ADC architectures for CMOS image sensor applications
KR101908749B1 (ko) 2010-12-16 2018-10-16 케이엘에이-텐코 코포레이션 웨이퍼 검사
US8669512B2 (en) 2010-12-28 2014-03-11 Technion Research & Development Foundation Limited System and method for analyzing light by three-photon counting
US8513587B2 (en) 2011-01-24 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor with anti-reflection layer and method of manufacturing the same
US8455971B2 (en) 2011-02-14 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for improving charge transfer in backside illuminated image sensor
JP2012189385A (ja) 2011-03-09 2012-10-04 Fujifilm Corp 放射線画像検出装置の保守方法
WO2012154468A2 (en) 2011-05-06 2012-11-15 Kla-Tencor Corporation Deep ultra-violet light sources for wafer and reticle inspection systems
US9920438B2 (en) 2011-07-07 2018-03-20 Massachusetts Institute Of Technology Methods and apparatus for ultrathin catalyst layer for photoelectrode
US9279774B2 (en) 2011-07-12 2016-03-08 Kla-Tencor Corp. Wafer inspection
KR101900273B1 (ko) 2011-07-15 2018-09-21 삼성전자 주식회사 시모스 이미지 센서
US8873596B2 (en) 2011-07-22 2014-10-28 Kla-Tencor Corporation Laser with high quality, stable output beam, and long life high conversion efficiency non-linear crystal
US8871557B2 (en) 2011-09-02 2014-10-28 Electronics And Telecommunications Research Institute Photomultiplier and manufacturing method thereof
US8748828B2 (en) 2011-09-21 2014-06-10 Kla-Tencor Corporation Interposer based imaging sensor for high-speed image acquisition and inspection systems
US20130077086A1 (en) 2011-09-23 2013-03-28 Kla-Tencor Corporation Solid-State Laser And Inspection System Using 193nm Laser
US8872159B2 (en) 2011-09-29 2014-10-28 The United States Of America, As Represented By The Secretary Of The Navy Graphene on semiconductor detector
US9250178B2 (en) 2011-10-07 2016-02-02 Kla-Tencor Corporation Passivation of nonlinear optical crystals
US10197501B2 (en) 2011-12-12 2019-02-05 Kla-Tencor Corporation Electron-bombarded charge-coupled device and inspection systems using EBCCD detectors
US8754972B2 (en) 2012-02-01 2014-06-17 Kla-Tencor Corporation Integrated multi-channel analog front end and digitizer for high speed imaging applications
US9496425B2 (en) 2012-04-10 2016-11-15 Kla-Tencor Corporation Back-illuminated sensor with boron layer
US10079257B2 (en) 2012-04-13 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Anti-reflective layer for backside illuminated CMOS image sensors
US20130313440A1 (en) 2012-05-22 2013-11-28 Kla-Tencor Corporation Solid-State Laser And Inspection System Using 193nm Laser
KR101914231B1 (ko) 2012-05-30 2018-11-02 삼성디스플레이 주식회사 주사 전자 현미경을 이용한 검사 시스템
US8976343B2 (en) 2012-06-21 2015-03-10 Kla-Tencor Corporation Laser crystal degradation compensation
US9601299B2 (en) 2012-08-03 2017-03-21 Kla-Tencor Corporation Photocathode including silicon substrate with boron layer
NL2011568A (en) 2012-10-31 2014-05-06 Asml Netherlands Bv Sensor and lithographic apparatus.
US9426400B2 (en) 2012-12-10 2016-08-23 Kla-Tencor Corporation Method and apparatus for high speed acquisition of moving images using pulsed illumination
US8929406B2 (en) 2013-01-24 2015-01-06 Kla-Tencor Corporation 193NM laser and inspection system
US8912615B2 (en) 2013-01-24 2014-12-16 Osi Optoelectronics, Inc. Shallow junction photodiode for detecting short wavelength light
US9529182B2 (en) 2013-02-13 2016-12-27 KLA—Tencor Corporation 193nm laser and inspection system
US9608399B2 (en) 2013-03-18 2017-03-28 Kla-Tencor Corporation 193 nm laser and an inspection system using a 193 nm laser
US9478402B2 (en) 2013-04-01 2016-10-25 Kla-Tencor Corporation Photomultiplier tube, image sensor, and an inspection system using a PMT or image sensor
US11180866B2 (en) 2013-04-10 2021-11-23 Kla Corporation Passivation of nonlinear optical crystals
US9350921B2 (en) 2013-06-06 2016-05-24 Mitutoyo Corporation Structured illumination projection with enhanced exposure control
US9347890B2 (en) 2013-12-19 2016-05-24 Kla-Tencor Corporation Low-noise sensor and an inspection system using a low-noise sensor
US9748294B2 (en) 2014-01-10 2017-08-29 Hamamatsu Photonics K.K. Anti-reflection layer for back-illuminated sensor
US9804101B2 (en) 2014-03-20 2017-10-31 Kla-Tencor Corporation System and method for reducing the bandwidth of a laser and an inspection system and method using a laser
JP2015201412A (ja) 2014-04-10 2015-11-12 日本放送協会 微小電子放出源、電子源アレイ及びその製造方法
EP3426388A1 (en) * 2016-03-06 2019-01-16 Waters Technologies Corporation Superficially porous materials comprising a coated core having narrow particle size distribution; process for the preparation thereof; and use thereof for chromatographic separations
US9966230B1 (en) 2016-10-13 2018-05-08 Kla-Tencor Corporation Multi-column electron beam lithography including field emitters on a silicon substrate with boron layer

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1096132A (zh) * 1993-04-05 1994-12-07 佳能株式会社 电子源和成像装置
TW442938B (en) * 1999-08-16 2001-06-23 Samsung Electronics Co Ltd Electron beam irradiating apparatus having cathode plate formed of non-metal conductive material
US6517405B1 (en) * 1999-11-10 2003-02-11 National Science Council Process for forming a film on a substrate having a field emitter
JP2006120431A (ja) * 2004-10-21 2006-05-11 Yyl:Kk 電子ビーム装置
CN107851545A (zh) * 2015-08-14 2018-03-27 科磊股份有限公司 电子源

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
宽带隙薄膜材料场电子发射研究的背景、现状和问题;陈光华 等;《物理》;第29卷卷(第5期期);第278-282页 *

Also Published As

Publication number Publication date
TW202022916A (zh) 2020-06-16
WO2020076528A1 (en) 2020-04-16
US10943760B2 (en) 2021-03-09
KR20210097111A (ko) 2021-08-06
CN112740355A (zh) 2021-04-30
TWI826547B (zh) 2023-12-21
US20200118783A1 (en) 2020-04-16

Similar Documents

Publication Publication Date Title
JP7236515B2 (ja) 電子源
CN112740355B (zh) 电子枪及电子显微镜
US9966230B1 (en) Multi-column electron beam lithography including field emitters on a silicon substrate with boron layer
US11715615B2 (en) Light modulated electron source
US20230298847A1 (en) Electron gun and electron microscope
CN117999628A (zh) 电子枪及电子显微镜
TWI840615B (zh) 光調變電子源、用於調變電子束之方法、及包含電子源之裝置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant