TWI826547B - 電子槍及電子顯微鏡 - Google Patents
電子槍及電子顯微鏡 Download PDFInfo
- Publication number
- TWI826547B TWI826547B TW108136702A TW108136702A TWI826547B TW I826547 B TWI826547 B TW I826547B TW 108136702 A TW108136702 A TW 108136702A TW 108136702 A TW108136702 A TW 108136702A TW I826547 B TWI826547 B TW I826547B
- Authority
- TW
- Taiwan
- Prior art keywords
- field emitter
- sic
- tip portion
- silicon substrate
- single crystal
- Prior art date
Links
- 239000000758 substrate Substances 0.000 claims abstract description 81
- 238000010894 electron beam technology Methods 0.000 claims abstract description 44
- 229910021421 monocrystalline silicon Inorganic materials 0.000 claims abstract description 32
- 230000002441 reversible effect Effects 0.000 claims abstract description 5
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 125
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 120
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 120
- 239000010703 silicon Substances 0.000 claims description 118
- 229910052710 silicon Inorganic materials 0.000 claims description 117
- 230000005684 electric field Effects 0.000 claims description 26
- 229910052760 oxygen Inorganic materials 0.000 claims description 13
- 239000001301 oxygen Substances 0.000 claims description 13
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 12
- 239000013078 crystal Substances 0.000 claims description 12
- 238000000609 electron-beam lithography Methods 0.000 claims description 5
- 230000000737 periodic effect Effects 0.000 claims description 5
- 229910021419 crystalline silicon Inorganic materials 0.000 claims 2
- 238000000034 method Methods 0.000 abstract description 21
- 230000007547 defect Effects 0.000 abstract description 18
- 230000003647 oxidation Effects 0.000 abstract description 11
- 238000007254 oxidation reaction Methods 0.000 abstract description 11
- 230000008569 process Effects 0.000 abstract description 8
- 239000010410 layer Substances 0.000 description 133
- 238000007689 inspection Methods 0.000 description 23
- 239000000463 material Substances 0.000 description 23
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 16
- 238000000576 coating method Methods 0.000 description 13
- 238000004519 manufacturing process Methods 0.000 description 13
- 239000004065 semiconductor Substances 0.000 description 11
- 238000005036 potential barrier Methods 0.000 description 10
- 239000011248 coating agent Substances 0.000 description 9
- 230000004888 barrier function Effects 0.000 description 8
- 239000002245 particle Substances 0.000 description 8
- 235000012239 silicon dioxide Nutrition 0.000 description 8
- 239000000377 silicon dioxide Substances 0.000 description 8
- 230000008901 benefit Effects 0.000 description 6
- 239000002019 doping agent Substances 0.000 description 6
- 229910052751 metal Inorganic materials 0.000 description 6
- 239000002184 metal Substances 0.000 description 6
- 239000011148 porous material Substances 0.000 description 6
- ZOKXTWBITQBERF-UHFFFAOYSA-N Molybdenum Chemical compound [Mo] ZOKXTWBITQBERF-UHFFFAOYSA-N 0.000 description 5
- 238000013461 design Methods 0.000 description 5
- 238000005530 etching Methods 0.000 description 5
- 229910052750 molybdenum Inorganic materials 0.000 description 5
- 239000011733 molybdenum Substances 0.000 description 5
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 5
- 229910052721 tungsten Inorganic materials 0.000 description 5
- 239000010937 tungsten Substances 0.000 description 5
- 230000005641 tunneling Effects 0.000 description 5
- 235000012431 wafers Nutrition 0.000 description 5
- 238000001459 lithography Methods 0.000 description 4
- 230000006798 recombination Effects 0.000 description 4
- 238000005215 recombination Methods 0.000 description 4
- 238000007789 sealing Methods 0.000 description 4
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 3
- 229910052799 carbon Inorganic materials 0.000 description 3
- 238000000151 deposition Methods 0.000 description 3
- 238000001514 detection method Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 238000012827 research and development Methods 0.000 description 3
- 125000006850 spacer group Chemical group 0.000 description 3
- 229910001220 stainless steel Inorganic materials 0.000 description 3
- 239000010935 stainless steel Substances 0.000 description 3
- 230000005689 Fowler Nordheim tunneling Effects 0.000 description 2
- 238000010521 absorption reaction Methods 0.000 description 2
- 238000004458 analytical method Methods 0.000 description 2
- 230000009286 beneficial effect Effects 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 230000008859 change Effects 0.000 description 2
- 239000000356 contaminant Substances 0.000 description 2
- 238000011161 development Methods 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 239000006185 dispersion Substances 0.000 description 2
- 238000001312 dry etching Methods 0.000 description 2
- 230000005686 electrostatic field Effects 0.000 description 2
- 238000005516 engineering process Methods 0.000 description 2
- 229930195733 hydrocarbon Natural products 0.000 description 2
- 150000002430 hydrocarbons Chemical class 0.000 description 2
- 238000010348 incorporation Methods 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 230000035515 penetration Effects 0.000 description 2
- 230000002093 peripheral effect Effects 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 229920005591 polysilicon Polymers 0.000 description 2
- 230000005855 radiation Effects 0.000 description 2
- 238000011160 research Methods 0.000 description 2
- 238000004381 surface treatment Methods 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 238000001039 wet etching Methods 0.000 description 2
- 208000032765 Device extrusion Diseases 0.000 description 1
- VGGSQFUCUMXWEO-UHFFFAOYSA-N Ethene Chemical compound C=C VGGSQFUCUMXWEO-UHFFFAOYSA-N 0.000 description 1
- 239000005977 Ethylene Substances 0.000 description 1
- 108010083687 Ion Pumps Proteins 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- FRIKWZARTBPWBN-UHFFFAOYSA-N [Si].O=[Si]=O Chemical compound [Si].O=[Si]=O FRIKWZARTBPWBN-UHFFFAOYSA-N 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 125000004432 carbon atom Chemical group C* 0.000 description 1
- 238000005255 carburizing Methods 0.000 description 1
- 239000000969 carrier Substances 0.000 description 1
- 230000015556 catabolic process Effects 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 150000001875 compounds Chemical class 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 238000006731 degradation reaction Methods 0.000 description 1
- 229910003460 diamond Inorganic materials 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000009792 diffusion process Methods 0.000 description 1
- 239000003792 electrolyte Substances 0.000 description 1
- 239000007789 gas Substances 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 230000005527 interface trap Effects 0.000 description 1
- 229910052746 lanthanum Inorganic materials 0.000 description 1
- FZLIPJUXYLNCLC-UHFFFAOYSA-N lanthanum atom Chemical compound [La] FZLIPJUXYLNCLC-UHFFFAOYSA-N 0.000 description 1
- 230000031700 light absorption Effects 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 150000001247 metal acetylides Chemical class 0.000 description 1
- 238000001465 metallisation Methods 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 150000002926 oxygen Chemical class 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 238000000206 photolithography Methods 0.000 description 1
- 238000012545 processing Methods 0.000 description 1
- 230000001681 protective effect Effects 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 239000003870 refractory metal Substances 0.000 description 1
- 238000012552 review Methods 0.000 description 1
- 230000035945 sensitivity Effects 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 238000011282 treatment Methods 0.000 description 1
- 238000013024 troubleshooting Methods 0.000 description 1
- 229910001928 zirconium oxide Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/02—Details
- H01J37/04—Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
- H01J37/06—Electron sources; Electron guns
- H01J37/073—Electron guns using field emission, photo emission, or secondary emission electron sources
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/06—Sources
- H01J2237/063—Electron sources
- H01J2237/06325—Cold-cathode sources
- H01J2237/06341—Field emission
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/06—Sources
- H01J2237/063—Electron sources
- H01J2237/06325—Cold-cathode sources
- H01J2237/06341—Field emission
- H01J2237/0635—Multiple source, e.g. comb or array
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/26—Electron or ion microscopes; Electron or ion diffraction tubes
- H01J37/28—Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/30—Electron-beam or ion-beam tubes for localised treatment of objects
- H01J37/317—Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
- H01J37/3174—Particle-beam lithography, e.g. electron beam lithography
Landscapes
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Cold Cathode And The Manufacture (AREA)
- Electron Sources, Ion Sources (AREA)
Abstract
本發明揭示一種用於一電子顯微鏡或類似裝置之電子槍,其包含:一場發射器陰極,其具有自一單晶矽基板之輸出表面延伸之一場發射器突出部;及電極,其經組態以增強自該場發射器突出部之一尖端部分之電子發射以產生一主電子束。一薄、連續SiC層係使用最小化該SiC層中之氧化及缺陷之一程序直接安置於至少該場發射器突出部之該尖端部分上。選用之閘極層可被放置於該場發射器尖端突出部之高度,略低於或略高於該高度以達成高發射電流及該主電子束之快速及精確控制。該場發射器可經p型摻雜且經組態以在一反向偏壓模式中操作,或該場發射器可經n型摻雜。
Description
本發明大體係關於適用於掃描電子顯微鏡、電子束微影系統及適用於檢視且檢測樣本之其他系統(例如,光罩、倍縮光罩及半導體晶圓)中之電子槍。特定言之,本發明係關於利用冷電子場發射器之電子槍,且係關於包含此等電子槍之檢視及檢測系統。
積體電路行業需要具有愈發高之敏感度之檢測工具來偵測愈發小之缺陷及粒子,該等缺陷及粒子之大小可為幾十奈米(nm)或更小。此等檢測工具必須高速操作以便在一短時段中檢測一光罩、倍縮光罩或晶圓之面積之至少一大部分。例如,在IC生產期間執行之高速檢測通常花費一個小時或更少。為研究及開發(R&D)或故障排除目的執行之更詳細高速檢測可花費至多幾個小時。高速檢測工具使用具有比受關注表面缺陷或粒子之尺寸更大之像素或光點大小之入射能量束掃描樣本表面且監測自樣本表面偏轉之能量數量,藉此藉由偏轉能量之數量中之較小改變偵測表面缺陷或非所要粒子。最通常在使用配合超紫外線(UV)光源操作之檢測工具之生產中執行高速檢測。可使用電子槍執行在R&D中執行之更詳細高速檢測。
一旦已藉由高速檢測發現一缺陷或粒子,通常便必須製作
一更高解析度之影像及/或執行材料分析以判定粒子或缺陷之起源或類型。此程序通常被稱為檢視。通常用一掃描電子顯微鏡(SEM)執行檢視。用於半導體製造中之檢視SEM通常需要每天檢視數千個潛在缺陷或粒子,藉此檢視SEM需要按容許至多幾秒來可操作地掃描各缺陷/粒子之速度操作。
電子顯微鏡(例如,SEM)利用電子槍(亦稱作電子源)來產生電子束且將電子束引導向目標樣本。熱離子源通常包含由鎢或六硼化鑭(LaB6)製成之一陰極。在熱離子發射期間,當電子熱能足夠高以克服表面電位障壁時,電子自材料表面沸騰出。熱離子發射器通常需要高溫(大於1300°K)來操作且具有若干缺點,諸如低效率之電力消耗、廣能量散佈、短壽命、低電流密度及有限亮度。
雖然熱離子源當前係最常見之市售電子槍類型,但對更高效率之電子槍之需求已驅使肖特基(Schottky)發射器及電子場發射器之開發。
肖特基發射器通常由具有塗佈有氧化鋯(ZrOX)層的一尖端之一鎢絲製成,其展現遠低於習知熱離子發射器之一功函數(~2.9eV)。肖特基發射器因有效電位障壁在一經施加外部電場下歸因於影像電荷效應之降低而展現增強之熱離子發射。然而,熱輔助肖特基發射器需要在高溫(>1000K)及高真空(~10-7托)下操作,且其歸因於高操作溫度而具有比所期望更高之電子發射能量散佈。因此,隨著IC特徵大小(即,因此,受關注缺陷/粒子大小)持續縮減,肖特基發射器無法提供具有半導體晶圓及遮罩檢測、檢視及微影所需之較低能量散佈、較高亮度(輻射)及較高電流密度之一電子槍。
電子場發射器(本文中被稱為「場發射器」)通常由安置於一真空中之一圓錐形發射器尖端(陰極)、一圓形閘極孔隙及一陽極構成。在操作期間,在一經施加外場下,跨發射器陰極、閘極及陽極建立電位差,從而導致尖端之表面處的高電場。場發射在經施加電場足夠高以降低尖端-真空界面上之電位障壁,使得電子可在室溫下穿隧通過此電位障壁且行進通過閘極孔隙朝向陽極(其在一更正電位下偏壓)時發生。一場發射器中之電子最初處於內部熱力學平衡,且多數經發射電子自接近發射器費米能階之電子狀態藉由佛勒-諾德翰(Fowler-Nordheim)穿隧逸出(相比而言,在肖特基發射器中,多數電子自遠高於費米能階之狀態在一場降低障壁之頂部上方逸出)。
早期場發射器設計努力致力於發展金屬場發射器。尤其,因為鉬具有一低電阻率(20℃下之53.4nΩ.m)及一高熔點(2896K),故Spindt型鉬場發射器可能係最為人熟知之金屬場發射器。然而,金屬發射器遭受若干缺點,諸如歸因於金屬沈積技術而缺乏均勻性,且更嚴重的是發射電流主要歸因於氧化而降級。
隨著現代半導體製造技術之出現,已存在對半導體場發射器,尤其矽場發射器之研究。單晶體(單晶)矽係用於場發射器之一有吸引力的材料。矽晶體可經生長為具有極高純度及極少晶體缺陷。可藉由摻雜及/或施加一電壓而更改矽之導電率。更重要的是,矽具有一發展良好的技術基礎。
在圖5中展示一典型先前技術矽場發射器之結構。一矽基板51通常為n型摻雜。一圓錐形發射器54形成於矽基板51上,其中一選用閘極層57經附接至一介電層56,該介電層56包含一或多個絕緣層。選用
閘極層57控制且擷取發射電流。一第三電極(即,陽極(未展示))面向閘極層57且與陰極分離一大的距離(約數百微米)。此係典型矽場發射器三極體組態。應注意,在無閘極層57之情況下,場發射器可用作一二極體。電子之量子穿隧在跨發射器結構施加一偏壓電壓時發生。一大的電場產生於發射器尖端之表面上,且自尖端發射電子。用於利用此類型之矽場發射器之半導體檢測/檢視/微影儀器之SEM儀器之冷(即,室溫發射)電子槍之生產將解決與肖特基及熱離子發射器相關聯之許多問題。
即使近年來,矽場發射器已展現前景,其等仍尚未市售。使用矽來形成場發生器之一個嚴重問題在於矽相當活性,且甚至在約10-10托之壓力下,其可在數小時內被污染(例如,見J.Shaw及J.Itoh之「Silicon Field Emitter Arrays」,Vacuum Microelectronics,W.Zhu編輯(Academic,2001年),第187頁至第246頁)。矽極其容易在其表面上形成一原生氧化物。甚至在一真空中,最終仍將形成一原生氧化物,此係因為真空中存在之少量氧氣且水將與矽之表面起反應。矽與二氧化矽之間的界面具有缺陷(歸因於懸鍵),在缺陷中,一電子重組之概率非常高。此外,二氧化矽之能帶隙較大(約9eV),從而產生高於一電子必須克服以逸出之功函數之一額外障壁(即使氧化物係極薄)。例如,一極其平滑的矽表面上之原生氧化物通常約為2nm厚。在一些情境中,氧化亦可改變場發射器之形狀。此等前述問題可導致低亮度及電流、以及欠佳之穩定性、可靠性、可擴縮性及均勻性,且已阻礙矽場發射器之商業用途。需要週期性急驟蒸發(flashing)(即,暫時提高尖端溫度)以移除該等污染物,在此期間,其中實施冷電子槍之系統/儀器保持不可用(即,收集較高解析度影像及/或執行材料分析必須在尖端被急驟蒸發時暫停)。此在半導體行業中呈
現一尤其明顯之問題,其中期望檢測/檢視/微影儀器無中斷地持續且穩定操作達較長時段。因此,用於半導體檢測/檢視/微影之多數市售SEM儀器包含實施肖特基發射器之電子槍。
研究工作已擴展至尋找用於場發射器之表面處理及塗佈,以針對較低接通電壓、較高發射電流密度、較低雜訊及改良的穩定性改良其等效能。此等處理可包含用耐火金屬、矽化物、碳化物及金剛石等塗佈發射器尖端。然而,此等塗層材料在形成平滑的且均勻的塗層表面時通常受製程之限制,及/或通常受形成於塗層表面上的氧化物層影響,從而產生一額外能量障壁。出於此等原因,利用經塗佈矽場發射器之冷電子槍尚未變為實用。
因此,需要克服先前技術之限制之一些或全部之一電子槍,及併入此一電子槍之一電子顯微鏡。
本發明係關於一種用於SEM或其他裝置/儀器中之電子槍,其中該電子槍包含一場發射器(陰極),其具有:一或多個場發射器突出部,其或其等一體連接至一單晶(單晶體)矽基板,使得該(等)突出部自該矽基板之一輸出(第二)表面延伸;及一實質上純碳化矽(SiC)層(即,由至少75% SiC構成),其密閉式安置於該場發射器突出部之至少一尖端(自由端)部分上。該SiC層形成於該(等)突出部上,使得在操作期間,透過該尖端部分離開該單晶矽基板之電子僅在形成一主電子束之通過該SiC層。該場發射器突出部至該矽基板之一體連接(即,藉由蝕刻該單晶矽基板之部分,使得單晶矽之一剩餘未蝕刻部分形成該突出部)藉由利用在該基板經受足夠高(強)以降低該尖端部分外側的電位障壁的一經施加外部電場時
產生之場增強,藉此電子能夠穿隧通過降低之電位障壁(即,藉由量子力學穿隧)而規避該矽基板之相對高功函數。再者,使用一連續實質上純SiC層來密閉式密封該場發射器突出部提供優於習知表面處理及塗佈之若干優勢:首先,已知用於形成一實質上純SiC層作為一無針孔塗層之各種技術,該層防止原生氧化物在該場發射器突出部之該外表面上形成;第二,實質上純SiC層具有低於習知塗層(諸如二氧化矽)之一能帶隙,藉此增加操作期間之電子流;及第三,該SiC層可耐受超過400°之處理溫度而不明顯改變其組成物或功函數,從而容許併入此一發射器之一電子槍在200℃或更高之溫度下真空烘烤。因此,藉由產生具有包含在一單晶矽基板上一體成型且由一實質上純SiC層密閉式保護之場發射器突出部之一場發射器之一電子槍,本發明促進產生具有矽之有利品質(即,亞1μm波長吸收、高純度/低缺陷材料及長電子重組時間)之冷電子槍,同時避免之前已防止利用經塗佈矽場發射器之冷電子槍之廣泛商用生產之負面態樣。
本發明之該電子槍藉由利用歸因於該場發射器突出部之場增強而規避矽之相對高之功函數。一第二電極(例如,陽極)定位成面向該場發射器陰極。該陽極可由一金屬(諸如鎢、鉬或不鏽鋼)製成。可利用一高電壓源(通常約千伏)來產生該場發射器突出部與該陽極之間的一外部電位差,以便在該場發射器突出部之該尖端附近產生一強電場,從而引起電子優先移動朝向該發射器尖端。當使用該場發射器突出部與陽極之間的一高電位差(諸如約1kV或更高之一電壓)時,該陽極應較佳地遠離該場發射器突出部約50μm。在一項實施例中,在相對於該場發射器突出部之至少500V之一正電壓下,該陽極被放置為距該發射器尖端至少50μm。在一替代性實施例中,在小於相對於該發射器正100V之一電位下,該陽極經
放置為靠近該發射器尖端(諸如約2μm或更小之一距離)。將該陽極放置為更靠近該發射器尖端容許自一更小電壓產生一足夠強之電場,此有利於藉由可縮短該發射器之壽命之高能離子最小化該發射器之回轟。然而,將該陽極放置為更靠近該發射器尖端需要該陽極中之該孔隙相對於該發射器尖端之更精確對準。
場發射在經施加電場足夠高以降低矽-真空界面上之該電位障壁,使得電子可穿隧通過此障壁(即,量子力學穿隧)時發生。在一半導體中,在電子濃度由局部摻雜位準及電位判定時,可組態場發射器以如在一p-n二極體中般在反向偏壓模式中操作,其中歸因於該發射器表面處之高電場而產生一空乏層。真空與一塗佈SiC、p型摻雜場發射器突出部之間的界面可形成一p-n接面,其中真空被視為一n型介質。在此情況中,導帶及價帶將在該表面處向下彎曲。若該電場足以使該導帶之最低能階低於費米(Fermi)能階,則該發射器尖端之頂點處將存在豐富電子且產生約nA至μA之一電流。在一替代性實施例中,該電場可保持在一稍微較低之位準,使得該導帶之該最低能階保持恰高於該費米能階,且產生極少或不產生發射電流。在此實施例中,可使用光來產生電子-電洞對。如此產生之電子將被強吸引朝向該尖端且許多電子將自該尖端作為一發射電流發射。在此實施例中,該發射電流可藉由控制入射於該場發射器基板上或其附近之亮度級而控制。在又一實施例中,矽晶圓之塊體可經n型摻雜,使得豐富電子可用於形成該發射電流。可藉由佛勒-諾德翰理論之一經修改版本估計該發射電流密度,該理論考慮到歸因於該場發射器突出部之場增強因數。因此,藉由產生具有形成於該單晶體矽基板上之一SiC層及一場發射器突出部兩者之一電子發射器結構,本發明提供矽之有益品質(即,高純
度/低缺陷材料、長電子重組時間及成熟的矽基製程),且實現場發射器之有吸引力的特徵(即,小發射器大小、低電力消耗、高亮度、高電流密度、高速度及長發射器壽命),同時避免先前阻止矽基場發射器結構的廣泛商業用途之負面態樣。
根據本發明之各種替代性實施例,各種額外層及結構用於進一步增強所揭示之發射器結構之有利品質。在一些實施例中,一個或若干閘極層或控制電極經放置於該場發射器尖端突出部之高度(即,自基底至尖端),略低於或略高於該高度以便進一步增強該發射器尖端處之該電場且達成對該發射電流之快速且準確控制。該閘極層通常形成在沈積於該基板上之一個或若干絕緣層之頂部上。若存在若干閘極層,則該等絕緣層為此等閘極層之間的間隔件。在又其他實施例中,多電子束槍包括複數個所揭示之場發射器突出部,該複數個所揭示之場發射器突出部配置成安置於該發射器表面上之一二維週期性圖案(例如,一場發射器陣列(FEA))以在存在一電場之情況下發射電子。
根據本發明之實施例,本文揭示之該等電子槍被併入檢測、度量及檢視掃描電子顯微鏡(SEM)中。一SEM通常包含一電子槍、電子光學器件及一偵測器。該等電子光學器件可經組態以微縮(de-magnify)主電子束且將該主電子束聚焦於樣本上且該偵測器可經組態以偵測來自該樣本之反向散射電子及二次電子之至少一者。該電子槍產生指向一樣本之一主電子束。該電子槍包含一塗佈SiC之矽場發射器突出部或複數個塗佈SiC之矽場發射器突出部。該電子槍可經組態以使該基於矽之場發射器作為一二極體或一三極體操作。該等電子光學器件微縮該主電子束且將其聚焦至該樣本上。該等電子光學器件亦包含可掃描該主電子束遍及該樣本之
一區域之偏轉器。當該主電子束撞擊在該樣本時,該樣本吸收來自該主電子束之許多電子,但散射一些電子(反向散射電子)。該經吸收能量使二次電子連同X射線及Auger電子一起自該樣本發射。該等二次電子由一二次電子偵測器收集。該等反向散射電子可由一反向散射電子偵測器收集。
在替代性實施例中,本發明係關於一種併入本文描述之該電子槍之裝置。該裝置可為一掃描電子顯微鏡(SEM),其中該等電子光學器件經組態以微縮該主電子束且將其聚焦至該樣本上。該裝置可進一步包括用於偵測來自該樣本之反向散射電子及二次電子之至少一者之一偵測器。在另一實施例中,該裝置可為一電子束微影系統,其中該等電子光學器件經組態以微縮該主電子束且將其聚焦至目標上。該裝置可進一步包括用於調變該電子束之強度之一調變器。
51:矽基板
54:圓錐形發射器
56:介電層
57:選用閘極層
100:掃描電子顯微鏡(SEM)系統
101:單晶矽基板
102:平坦(第一)表面
103:輸出(第二)表面
104:場發射器突出部
105:基底部分
106:尖端部分
110:SiC層
130:載台
131:樣本
140:電子槍
141:矽場發射器(陰極)
142:電極
143:腔室
144:孔隙
145:泵
150:主電子束
160:上柱
165:聚光透鏡
167:選用之偏轉器
170:下柱
171:最終透鏡
172:偏轉器
180:電極
181:偏轉器/電子偵測器
182a:偏轉器/電子偵測器
182b:偏轉器/電子偵測器
190:系統電腦
191:影像
200:電子槍
201:基板
202:後側表面
203:輸出表面
204:場發射器突出部
205:基底區
206:尖端部分
210:SiC層
210A:部分
220:光源
224:光
300:電子槍
306:介電層
307:閘極
307E:內側邊緣
400A:電子槍
400B:電子槍
401:矽基板
403:輸出表面
404-1:場發射器突出部
404-2:場發射器突出部
405:基底部分
406:尖端部分
410:SiC層
426:介電層/介電質
427:閘極
427E:內側邊緣
D1:特性橫向尺寸
D2:特性橫向尺寸
D3:預定距離
E:電子
EF:電場
H1:高度
H2:高度
ID1:影像資料信號
ID2:影像資料信號
R:半徑
S:間距
T1:厚度
T2:厚度
T3:厚度
α:傾斜角
在附圖之圖中藉由實例而非藉由限制繪示本發明,在附圖中:圖1繪示根據本發明之併入一電子槍、電子光學器件、一反向散射電子偵測器及一二次電子偵測器之一實施例之一例示性SEM;圖2係繪示根據本發明之一例示性實施例之包含形成於一矽基板上之一塗佈SiC之矽場發射器突出部之呈一二極體組態的一電子槍之一透視圖;圖2A係展示圖2之電子槍之一橫截面視圖;圖3係繪示根據本發明之一替代實施例之包含形成於一矽基板上之一塗佈SiC之矽場發射器突出部及一閘極層之呈一三極體組態的一電子槍之一橫截面側視圖;
圖4A及圖4B係繪示根據本發明之替代實施例之包含形成於矽基板上之塗佈SiC之矽場發射器突出部陣列之呈二極體及三極體組態的多電子束電子槍之橫截面側視圖;及圖5係繪示包括一矽場發射器之一典型先前技術電子槍之一橫截面側視圖。
相關申請案
本申請案主張2018年10月12日申請且以引用的方式併入本文中之標題為「ELECTRON SOURCE」之美國臨時專利申請案第62/744,890號之優先權。本申請案亦主張2019年8月12日申請且以引用的方式併入本文中之標題為「ELECTRON GUN AND ELECTRON MICROSCOPE」之美國臨時專利申請案第62/885,624號之優先權。
儘管將依據特定實施例描述所主張之標的,然其他實施例(包含未提供本文中闡述之全部優點及特徵之實施例)亦在本發明之範疇內。可在不脫離本發明之範疇之情況下進行各種結構、邏輯、程序步驟及電子改變。因此,僅參考隨附發明申請專利範圍定義本發明之範疇。
呈現以下描述以使一般技術者能夠製造且使用如在一特定應用及其要求之內容背景中提供之本發明。如本文中使用,方向術語(諸如「頂部」、「底部」、「上方」、「下方」、「上」、「向上」、「下」、「往下」及「向下」)意欲為描述之目的提供相對位置,且並不意欲指定一絕對參考系。熟習此項技術者將明白對較佳實施例之各種修改,且本文中定義之一般原理可應用至其他實施例。因此,本發明並不意在受限於所展示及描述之特定實施例,而將符合與本文所揭示之原理及新穎特徵一致的最廣範
疇。
圖1繪示一例示性檢測、度量或檢視掃描電子顯微鏡(SEM)系統100,其經組態以檢測或檢視一樣本131,諸如一半導體晶圓、倍縮光罩或光罩。SEM系統100包含:一電子槍140;電子光學器件,其等經安置於一上柱160及一下柱170中且經組態以將主電子束150聚焦且引導至樣本131;一或多個偵測器,其或其等經安置於下柱170中以偵測自樣本131偏轉之電子;及一系統電腦190。
電子槍140包含一腔室143內側安置之一矽場發射器(陰極)141及電極142。場發射器141組態成一二極體或三極體組態以發射電子,該等電子行進通過藉由電極142(其等可包含一陽極)產生之一經施加電場以形成具有一所需束能量及束電流(通常約1nA至1μA)之一主電子束150,該主電子束150通過一小孔隙144離開腔室143。電子槍140可進一步包括一磁透鏡(未展示)。腔室143之內部在藉由一泵145操作期間維持在高真空條件下,以便保護場發射器141免受污染物影響且最小化藉由高能離子之轟擊。可維持電子槍140之充分真空條件,同時容許主電子束150經由孔隙144通至上柱160中。真空泵145較佳地包含一離子泵及一吸氣泵之至少一者。孔隙144較佳地具有在約100μm與約2mm之間的一直徑。孔隙144可充當一束限制孔隙及一差分泵抽孔隙兩者。在一較佳實施例中,腔室143、孔隙144及真空泵145共用經組態以在電子槍140之操作期間將場發射器陰極141維持在小於約10-10托之一真空位準。
參考圖1之左上部分,場發射器陰極141一般包含一單晶矽基板101,該單晶矽基板101包含至少一個一體連接之場發射器突出部104。矽基板101本質上不含缺陷,且具有在約10nm至約800μm之範圍
中之一厚度T1,如在一平坦(第一)表面102與一相對輸出(第二)表面103之間量測。在一項實施例中,矽基板101經p型摻雜為具有小於約1019cm-3之一摻雜位準。在另一實施例中,矽基板101經n型摻雜為具有在約1015與1019cm-3之間的一摻雜位準。場發射器突出部104具有一固定基底部分105,該固定基底部分105一體連接至矽基板101且延伸遠離表面103至尖端(自由端)部分106。在一例示性實際實施例中,在垂直於表面103之一方向上自基底105至尖端部分106之量測之一高度H1在0.5μm至5μm之範圍中,較佳地不超過約2μm。在一項實施例中,場發射器突出部104藉由蝕刻或以其他方式移除形成基板101之單晶材料之部分(即,使得場發射器突出部104及基板101之剩餘部分為同一單晶結構之剩餘部分)使用標準CMOS製造技術製造。例如,形成場發射器突出部104可藉由使用電漿增強化學氣相沈積(PECVD)將二氧化矽或氮化矽遮罩沈積於基板101之表面103上方,接著使用光微影術圖案化表面103之一部分上方之遮罩同時曝露圍繞經遮蔽部分之表面103之部分,且接著利用一乾式蝕刻程序(例如,反應離子蝕刻(RIE)、感應耦合電漿(ICP)蝕刻或電子迴旋共振(ECR)蝕刻)、一濕式蝕刻程序或乾式及濕式蝕刻之一組合,使得單晶材料之部分被移除,使突出部104被表面103圍繞而達成。在替代性特定實施例中,場發射器突出部104可形成為具有各種懸臂形狀,諸如圓形晶鬚(具有圓形尖端之圓柱)、圓錐或錐體。
根據本發明之一態樣,連續實質上純SiC層110至少密閉式安置於場發射器突出部104之一尖端部分106上,使得在操作期間,透過尖端部分106離開基板101以形成主電子束150之電子僅穿過連續實質上純SiC層110(即,在SiC層110上鄰近於尖端部分106不形成其他材料/層)。
如本文使用,片語「密閉式安置」被定義為在幾乎無氧氣中形成且形成場發射器突出部104之至少尖端(自由端)部分106上之一氣密密封。如本文使用,相對於SiC層110之術語「連續」被定義為意指SiC層110跨基板101之一指定部分係完整的,該部分至少包含自其發射電子之尖端部分106之區。即,來自場發射器突出部104之多數電子發射離開具有(例如)約幾十nm之半徑之一大小之尖端部分106之一區,且此區由SiC層110以一連續(完整)方式覆蓋。在其他實施例中,SiC層110在包含尖端部分106之場發射器突出部104之周邊表面之一較大部分上方係連續的,或可在包含場發射器突出部104之整個周邊表面、圍繞場發射器突出部104之基底部分105之輸出表面103之一些或全部之一甚至更大區域上方係連續的。
可使用各種已知技術來形成連續實質上純SiC層110。在一項特定實施例中,SiC層110使用對清潔矽之CHF3電漿處理形成,使得產生具有在1nm至10nm之範圍(包含其間之全部範圍及精確至0.1nm之值)中之一厚度之一保護性、連續SiC層(例如,如由M.Nagao、T.Matsukawa、S.Kanemaru及J.Itoh在「Damageless vacuum sealing of Si field emitters with CHF3 plasma treatment」,J.Vac.Sci.Technol.B,第19卷,第3期,2001年5月/6月,第920至924頁描述)。在另一特定實施例中,使用滲碳程序形成SiC層110,即,藉由使用高達5×10-3托之壓力在850與950℃之間的溫度下與乙烯氣體反應(例如,如由J.Liu、U.T.Son、A.N.Stepanova、K.N.Christensen、G.J.Wojak、E.I.Givargizov、K.J.Bachmann及J.J.Hren在「Modification of Si field emitter surfaces by chemical conversion to SiC」,J.Vac.Sci.Technol.B,第12卷、第2期,1994年3月/4月,第717至721頁描述)。當使用此等
技術形成時,連續、實質上純SiC層110藉由可靠且密閉式密封矽表面以防氧化而規避矽之氧化問題。SiC層110可包含各種雜質,諸如額外矽原子、額外碳原子或氧原子可存在於SiC-Si界面、外層表面之任一者上或在晶格內。例如,碳矽比可在SiC層110與基板101之間的界面處變化。注意,SiC層110可包含在SiC層110與突出部104之矽表面之間的界面處保留之幾氧原子百分比(諸如小於10%或小於5%),但此氧含量可能因為密閉式密封不會隨時間(諸如在一年之時段內)明顯增大。此一低氧矽比意味著,界面處不存在連續二氧化矽層,使得電子能夠輕易透過覆蓋尖端部分106之SiC層110之部分離開矽表面。注意,緊接在形成SiC層110之後,SiC層110之一外表面可藉由一薄層(諸如若干單層)覆蓋,該薄層可包括碳、碳氫化合物、氟化碳氫化合物或其他化合物。此薄層可緊接在使用電子場發射器140之前移除。因此,SiC層110可包括1:1(矽碳比)SiC材料,由其構成,或本質上由其構成。實質上純SiC層110可包括大於75%之1:1 SiC材料(例如,在替代性特定實施例中,SiC層110可包含大於80%之1:1 SiC材料,大於85%之1:1 SiC材料,大於90%之1:1 SiC材料,大於95%之1:1 SiC材料,大於96%之1:1 SiC材料,大於97%之1:1 SiC材料,大於98%之1:1 SiC材料,或大於99%之1:1 SiC材料,其中所有百分比值為原子百分比)。
藉由以上述方式產生電子槍140,本發明提供具有經塗佈矽場發射器之一冷電子槍,其克服與習知方法相關聯之限制。場發射器突出部104之一體連接藉由利用在矽基板101經受足夠高(強)以降低尖端部分106外側的電位障壁的一經施加外部電場EF時產生之場增強,藉此電子E能夠穿隧通過該降低之電位障壁(即,藉由量子力學穿隧)而規避矽基板之
相對高功函數。所得電子發射電流密度可藉由佛勒-諾德翰理論之經修改版本估計(R.Fowler及L.Nordheim之「Electron emission in intense electric fields」,Proceedings of the Royal Society of London A,第119卷、第781期,1928年5月,第173至181頁)。再者,藉由形成連續實質上純SiC層110,使得其密閉式密封尖端部分106,實質上完全防止場發射器輸出表面之氧化,藉此避免對由習知方法中之氧化造成之電子逸出的顯著障壁。因此,藉由產生具有包含在單晶矽基板101上一體成型且由連續實質上純SiC層110密閉式保護之突出部104之一場發射器陰極141之電子槍140,本發明提供具有矽之有利品質(即,亞1μm波長吸收、高純度/低缺陷材料及長電子重組時間)之一冷電子槍,同時避免之前已防止利用經塗佈矽場發射器之冷電子槍之廣泛商用生產之負面態樣。
參考圖1之中心部分,SEM 100之上柱160包含一或多個聚光透鏡165,該一或多個聚光透鏡165微縮主電子束150,使得主電子束150之一入射部分主要落於具有約20nm之或更小之一標稱直徑之樣本131之一上表面上之一光點區域內。一或多個選用之偏轉器167可被放置於聚光透鏡165之一個或兩個側上以使用已知技術調整(即,對準、傾斜及/或移位)主電子束150。
下柱170包含一最終透鏡171,該最終透鏡171經組態以將主電子束150聚焦至樣本131上,使得入射電子在預期光點區域內撞擊樣本131,且下柱170包含接收來自樣本131之重新引導之電子之各種偏轉器181、182a及182b。下柱170亦包含一或多個偏轉器172,該一或多個偏轉器172與偏轉器167(若存在)組合工作以掃描主電子束150遍及樣本131之一區域。在一項實施例中,樣本131被放置於一載台130上以便促進相對
於電子槍140之移動(即,以將樣本131之不同表面區定位於電子柱下方)。主電子束150之入射電子撞擊樣本131之表面且在藉由樣本131之被撞擊表面特徵判定之方向上偏轉或以其他方式散射,藉此產生遠離樣本131移動之二次電子及反向散射電子(例如,在圖1中之向上方向上)。二次電子可藉由電極180收集且加速且引導至二次電子偵測器181以供偵測。反向散射電子可由一反向散射電子偵測器182a及182b偵測。在一項實施例中,各電子偵測器181、182a及182b係包含至少一個類比數位轉換器之一固態裝置,該類比數位轉換器將根據數個經接收電子產生之類比輸出信號轉換為一數位形式以作為一或多個數位影像資料信號傳輸至電腦190(即,藉由二次電子偵測器181產生之影像資料信號ID1,及/或由反向散射電子偵測器182a或182b產生之信號ID2)。電腦190經組態以處理影像資料信號ID1及ID2,且經組態以產生在其上方使用已知技術掃描主電子束150之樣本131之區域之一影像191。
雖然圖1描繪整合至一SEM 100中之一電子槍140之組態及操作,但本文揭示之電子槍亦可被併入其他裝置中,諸如,例如包含經組態以微縮主電子束且將主電子束聚焦至一目標上之電子光學器件之一電子束微影系統,及用於調變電子束之強度之一調變器。
圖2以部分透視圖繪示,該部分透視圖展示根據本發明之一例示性實施例之包含一體連接至一基板201之一場發射器突出部204之一電子槍200之陰極部分,且圖2A以沿著圖2之線2A至2A取得之一橫截面視圖展示電子槍200之陰極部分。電子槍200亦包含電極,諸如面向突出部204之一陽極(未展示,但參見圖1中之電子槍140)。陽極可與突出部204之一尖端部分206分開達在約一微米與幾百微米之間的一距離。如同在之
前實施例中,場發射器陰極形成於具有一面向上輸出表面203(場發射器突出部204自其延伸)之一矽基板201上,且一連續SiC層210安置於輸出表面203上且完全覆蓋場發射器突出部204。如在圖2中指示,場發射器突出部204具有可藉由各向異性蝕刻製成之一錐體形狀,其可具有接近54.7°之一傾斜角α(見圖2A),此係因為該角度對應於單晶矽中之(100)平面與(111)平面之相交點。當期望一尖銳的場發射器尖端部分206時,可在形成SiC層210之前使用可在一低溫至適中溫度(小於約950℃)下執行之氧化削尖。尖銳尖端部分206之頂點在原子級長度下可包括一實質上平坦區域,諸如實質上平行於矽晶體之一晶面(例如實質上平行於一(100)平面)之一表面。在圖2A中,藉由尺寸D1指示基底區205之一特性橫向尺寸(例如,直徑),且藉由尺寸D2指示尖端區206之一特性橫向尺寸。在一較佳實施例中,側向尺寸D1與場發射器突出部之高度H1之比(見圖3)在約1與約3之範圍中,且直徑D2可在約5nm與約50nm之間。陽極(未展示)可由一金屬製成,諸如鎢、鉬及不鏽鋼等。利用一電壓源來產生陰極與陽極之間的一外部電位差,以引起電子優先移動朝向場發射器突出部204之尖端部分206。電子槍200與一典型冷電子槍類似地操作,其中當將一強電場(諸如在約100V μm-1與約10kV μm-1之間的一場)施加至尖端部分206時,電子高度可能自基板201發射穿過場發射器204之輸出表面203,最常自場發射器204之尖端部分206附近發射。如上文解釋,SiC層210使用密閉式密封尖端206以防氧化之一程序形成。為確保SiC層210提供一良好密閉式密封而不形成針對電子發射之一強障壁,SiC層210之厚度T2可在約1nm與10nm之間。儘管幾個百分比之氧保持在矽基板201與SiC層210之間的界面處,但沒有更多氧氣能夠穿透SiC層210,故在矽/SiC界面附近不發生顯
著進一步氧化。由於自尖端部分206之頂點附近之一較小區發生電子發射,故尖端部分206附近之SiC層210之品質最重要。因此,根據一實施例,在尖端部分206之一半徑R內(圖2A中展示)之SiC層210之一部分210A經形成為不具有針孔,其中半徑R等於100nm或更小。在定位於半徑R外側之SiC層210之部分210B中,在SiC層210之覆蓋範圍中之若干針孔或間隙或SiC層210之組合物之一改變通常不會影響發射且可忍受。
除了如上文參考SiC層110描述係實質上純SiC,在尖端部分206之區中係連續的,且形成一密閉式密封外,在一些實施例中,SiC層210可形成為具有在1nm至2nm之一範圍中之一厚度T2,可具有一均勻厚度,或可經形成為在場發射器突出部204上具有不同於輸出表面203之剩餘部分上之一厚度。
根據本發明之一態樣,矽基板201可包括單晶矽(即,矽之一單晶體),該單晶矽經p型摻雜為具有小於約1019cm-3之一摻雜位準(即,約0.005Ω.cm或更高之一電阻率)。由於少數載子壽命及擴散長度隨著摻雜物濃度增大而減小,故當矽極薄(諸如薄於約1μm)時,可使用高於約1019cm-3之摻雜物濃度,而當矽厚於約1μm時,低於約1019cm-3之摻雜物濃度可較佳。對於厚於幾微米之矽(諸如10μm或更大之一厚度),低得多的摻雜物濃度(諸如小於約1014cm-3)可係較佳的以確保長載子壽命及低暗電流。由於電子係p型摻雜矽中之一少數載子,故使用p型矽電子槍200之一項實施例包含一選用之光源220,該選用之光源220經組態以使光224照射通過後側表面202以在矽基板201內產生電子-電洞對。光源220較佳地包括一高強度光源,諸如一雷射二極體或一高亮度LED,較佳地具有約20nm或更小之頻寬。在替代性實施例中,光源220可經放置在基板201
後面以照射明後側表面202(如所展示),或可經定位以照明輸出表面203(即,定位於基板201上方而非基板201下方,如在圖2A中展示)。當將光源220放置在基板201下方(如所展示)時,光源220經組態以發射相對較深地穿透至矽中之一光波長(諸如長於約500nm之一波長),以產生遠離矽基板201之後側表面202之電子-電洞對。當光源220自頂側照明場發射器突出部204時,較淺地穿透至矽中之一更短波長(諸如短於約550nm之一波長)可為較佳的以便產生相對靠近場發射器突出部204之尖端部分206之電子-電洞對。在一項實施例中,光源220經組態使得可控制藉由電子槍200產生之主電子束(例如,參見圖1中之束150)之一發射電流使之與自光源220透射至矽基板201中之光224之一量成比例。
在一替代性實施例中,矽可經n型摻雜為具有約1015cm-3或更大之一摻雜物濃度。例如,矽可經n型摻雜為具有在約1015cm-3與1019cm-3之間的一摻雜物濃度。具有n型摻雜之矽具有在導帶中可得之許多電子,其等可被吸引朝向場發射器突出部204之尖端部分206以形成發射電流。當使用n型摻雜矽時,可藉由調整一閘極電極(諸如圖1中之擷取及聚焦電極內之一電極或圖3中之閘極307)上之一電壓或藉由調整陽極與場發射器陰極之間的電壓差而控制發射電流。當使用n型摻雜矽時,可省略選用之光源220。在任一情況中,場發射器突出部204可使用上文針對形成場發射器突出部104描述之程序形成。
根據本發明之另一態樣,電子槍200之場發射器陰極結構可經組態以如在一p-n二極體中般在反向偏壓模式中操作,其中歸因於輸出表面203處之高電場而產生一空乏層。真空與一塗佈SiC、p型摻雜場發射器之間的界面可形成一p-n接面,其中真空被視為一n型介質。在此情況
中,導帶及價帶將在表面處向下彎曲。若電場足以使該導帶之最低能階低於費米能階,則在尖端部分206之頂點處將存在豐富電子且產生約1nA至1μA之一電流。場發射在經施加電場足夠高以降低矽-真空界面上之電位障壁,使得電子可穿隧通過此障壁(量子力學穿隧)時發生。可藉由佛勒-諾德翰理論之一經修改版本估計發射電流密度,該理論考慮到歸因於場發射器之場增強因數。
根據本發明之另一態樣,SiC層210包括直接安置於場發射器之輸出表面上之一連續SiC薄膜。如本文中使用,結合SiC與矽界面之片語「直接在...上」意欲意謂:除可形成於Si/SiC界面處之SiCx(x<1)之一可能薄層(即,數個單分子層)外,不存在分離場發射器陰極之輸出表面203與SiC層210之連續中介層(例如,氧化物層或SiNx層)。亦應注意,片語「直接在...上」並不排除SiC與矽之一些部分之間存在少量氧化物。使用已知技術使SiC層210在清潔矽上生長,使得SiC至少在場發射器突出部204上形成具有在約1nm至10nm之範圍中之一厚度T2(諸如約1至4nm,包含其間之全部範圍及精確至0.1nm之值)之一無針孔塗層。塗層之其他區段可包含在場發射器突出部204上之塗層外側的針孔缺陷,或塗層可完全無針孔。在一例項中,在場發射器突出部204外側最小化針孔缺陷之存在。
可在形成SiC層210之前藉由例如一濕式清潔其後接著一原位蝕刻自矽移除全部原生氧化物。SiC層210之一優點在於:此一無針孔塗層在施敷至一清潔矽表面時防止在場發射器之輸出表面上形成一原生氧化物。如先前描述,二氧化矽層具有一高能帶隙且甚至薄層可阻止大部分電子離開矽。因此,SiC層210甚至容許具有低能量之電子離開矽場發射
器突出部204。形成於矽基板201上之場發射器突出部204規避先前電子發射器之限制,且尖銳的發射器提供場增強及高發射電流。另外,即使矽層在被塗佈時無氧化物,先前矽裝置仍無法避免二氧化矽界面層形成於矽與低功函數材料之間。即,在矽上不具有一不滲透無針孔保護層之情況下,氧氣最終將遷移至矽表面且形成氧化物層。使用SiC形成層210之一優點在於:甚至一薄的無針孔SiC層不滲透氧氣且密閉式地密封矽。由於大多數電子發射自場發射器突出部之頂點附近之一小區發生,故可僅需要在場發射器之頂點之幾百奈米內沒有針孔。SiC層210之另一優勢在於在矽-SiC界面處之缺陷及界面陷阱之密度通常低於在矽-二氧化矽界面,從而導致更高之發射電流。
圖3以橫截面視圖繪示根據一第一替代實施例之一電子槍300。類似於上文在圖2中描述之結構,電子槍300包含藉由一體連接至一矽基板201且自輸出表面203向上延伸之一場發射器突出部204形成之一場發射器陰極。電子槍300與之前描述之實施例之不同之處在於:其包含一閘極307或控制電極,該閘極307或控制電極安置於一或多個介電層306上,使得導電閘極307之一內側邊緣307E與場發射器突出部204之尖端部分206間隔達一預定距離D3。為了達成發射電流之快速且精確之控制,控制介電層306之一厚度T3,使得閘極307之一高度H2類似於場發射器尖端部分206之高度H1(即,相對於定位於場發射器突出部204之周邊外側之輸出表面203量測)。因此,介電層306之一厚度T3約等於或小於場發射器突出部204之高度H1。較佳地,介電層306之厚度T3與場發射器突出部204之高度H1相差達不超過幾百nm(例如,使得高度H2在高度H1之±300nm之一範圍內)。例如,可選擇厚度T3,使得閘極307具有與場發射器尖
端部分206近似相同之高度,或比場發射器尖端部分206高約200nm,或比場發射器尖端部分206低約200nm。介電層306可包括一或多個介電材料,諸如SiO2或Si3N4。介電層306安置於矽之頂表面上,包圍場發射器突出部204,但未必覆蓋場發射器突出部204。在另一例項中,介電層306部分覆蓋場發射器。可藉由諸如使用PVD、CVD或ALD沈積方法之標準CMOS製造技術來製造閘極307及介電層306。閘極307可包括一金屬或多晶矽。最簡單之發射器設計係其中僅使用一個閘極307之三極體組態,但其他組態係可能的。此閘極307通常形成於沈積於基板201上之介電層306(其包括一或若干絕緣層)之頂部上。可在更複雜的發射器設計中利用兩個或兩個以上閘極層(未展示),其中將多個介電層用作此等閘極層中間的間隔件。應注意,電子槍300不限於錐體或圓錐形場發射器且可包括任何形狀之場發射器,諸如一圓形晶鬚形場發射器或另一形狀。在圖3之實施例中,自圖2中之組態改變或不同於圖2中之組態之組態係可能的。
雖然在圖3中將SiC層210繪示為僅覆蓋場發射器突出部204,但SiC層210亦可在介電層306下方延伸。例如,SiC層210可在形成介電層306之前形成於基板201之輸出表面203上及場發射器突出部204上方。
圖4A及圖4B以橫截面視圖繪示根據本發明之其他替代實施例之所揭示電子槍,其中利用額外層及結構來進一步增強所揭示電子槍結構之有利品質。所繪示之例示性實施例並不意欲為詳盡的,且包含下文描述之額外層及結構之組合之電子槍被理解為係可能的。圖4A及圖4B之實施例可類似於呈一陣列配置之圖2及圖3之實施例。因此,SiC層410可類似於SiC層210(上文描述)。應注意,電子槍400A及400B不限於錐體或
圓錐形場發射器突出部,可包括任何形狀之場發射器突出部,諸如一圓形晶鬚形場發射器或另一形狀。在圖4A及圖4B之實施例中,自圖2及圖3中之組態改變或除了圖2及圖3中之組態外之組態係可能的。
圖4A以一橫截面視圖繪示根據一第二替代實施例之一電子槍400A。電子槍400A包含形成於矽基板401上之一場發射器陣列(FEA)陰極及面向FEA陰極之一陽極(未展示)。矽基板401具有:一面向上輸出(頂部)表面403,其具有配置成一二維週期性圖案之複數個場發射器突出部404-1及404-2;及一連續SiC層410,其安置於輸出表面403上,從而覆蓋場發射器突出部404-1及404-2。場發射器突出部404-1及404-2具有可藉由各向異性蝕刻製成之一錐體形狀,藉此各突出部404-1及404-2具有大體對準輸出表面403之一基底部分405及一尖端部分406。以類似於上文描述之場發射器204之一方式,可藉由標準CMOS製造技術製造場發射器404-1及404-2。當期望尖銳的場發射器尖端部分406時,可在形成SiC層410之前使用可在一低溫至適中溫度(小於約950℃)下執行之氧化削尖。一第二電極(即,一陽極,未展示)定位成面向場發射器陰極。陽極可由金屬製成,諸如鎢、鉬及不鏽鋼等。利用一電壓源來產生FEA陰極與陽極之間的一外部電位差,以引起電子優先移動朝向發射器尖端部分406。電子槍400A與一典型冷電子槍類似地操作,其中當經適當定位時,電子高度可能自基板401發射,最常自場發射器突出部404-1及404-2之尖端部分406附近發射穿過FEA之輸出表面403。
影響一FEA陰極中之場發射器性質之一參數係相鄰場發射器404-1與404-2之間的一間距S。歸因於導致至個別發射器中之不足電場穿透之屏蔽效應,緊密間隔之發射器減小場增強因數。因此,為最小化場
屏蔽效應且最佳化場發射電流密度,垂直對準發射器突出部404-1及404-2之間的距離或發射器間距S可實質上係大的,諸如約數十微米至甚至數十厘米。在一例項中,場發射器間隔開從100μm至10cm,包含其間之全部範圍及精確至1μm之值。例如,場發射器可間隔開10μm、50μm、100μm、200μm或500μm。發射器之間距可為發射器突出部404-1及404-2之標稱高度H1之至少3倍。一般言之,發射器之間距與其等被併入之系統之電子光學器件相匹配。為此,可選擇在100μm與若干厘米之間的一間距。
圖4B以一橫截面視圖繪示根據一第三替代實施例之一電子槍400B。類似於上文描述之結構,電子槍400B包含形成於一矽基板401上之一場發射器陣列(FEA)陰極,該矽基板401具有:一面向上輸出(頂部)表面403,其具有配置成一二維週期性圖案之複數個場發射器突出部404-1及404-2;及一連續SiC層410,其安置於輸出表面403上,至少在突出部404-1及404-2之尖端部分406上方。電子槍400B與電子槍400A之不同之處在於其包含安置在類似於場發射器突出部404-1及404-2尖端之標稱高度H1之一高度H2處且藉由介電質426附接至基板401之一閘極427(或控制電極)。閘極427容許發射電流之快速且精確之控制。介電層426安置於矽之頂表面上,包圍場發射器突出部404-1及404-2,但不覆蓋突出部404-1及404-2之任何部分。在另一例項中,介電層426部分覆蓋一或多個場發射器突出部404-1及404-2。可藉由諸如使用PVD、CVD或ALD沈積方法之標準CMOS製造技術來製造閘極427或介電層426。閘極427可包括一金屬或多晶矽。最簡單之發射器設計係其中僅使用一個閘極層之三極體組態,但其他組態係可能的。此閘極427通常形成於沈積於基板上之一絕緣層(介
電質426)(其通常為氧化物層)之頂部上。可在更複雜的發射器設計中利用兩個或更多個閘極層(未展示),其中絕緣層形成此等閘極層之間的間隔件。閘極427之內側邊緣427E分別與場發射器突出部404-1及404-2之尖端部分406間隔開達一預定距離D3。
可藉由熟知的佛勒-諾德翰穿隧描述來自矽場發射器之場發射。與經施加電場相比,一發射器尖端處之局部場增強達一場增強因數。在外部電場穿透至半導體中時,近表面區中之載子濃度改變。
對於一高靜電偏壓場(諸如107V cm-1),一p型場發射器之導帶將在表面處退化,且一空乏區(其中費米能階位於能隙之中間)將產生於p型內部與n型表面之間。此導致此區中之電子與電洞之一最小濃度,類似於一反向偏壓p-n接面之情況。
當陰極包括n型矽或當將包括p型矽之一陰極操作為光電陰極時,經施加靜電場之僅需足夠強以使導帶及價帶在尖端處向下彎曲,但無需使導帶彎曲至低於費米能階。運用此一經施加靜電場,少數電子將自發產生且大多數發射電流將來自從n型矽注入至尖端附近的區中之電子或來自由光吸收產生之電子-電洞對。
在先前矽場發射器中,矽表面上至少將存在一薄氧化物層。此氧化物(即使僅約2nm厚)表示對試圖逸出之任何電子之一大障壁。二氧化矽之能帶隙係大約9eV。此一大的能帶隙導致氧化物內之導帶之一局部峰值,其比矽內之導帶高數eV。所揭示場發射器表面上之SiC層阻止氧氣或水到達矽表面且防止氧化物層之生長,因此實現一高效電子槍。
在一項實施例中,此矽場發射器在接近室溫之一溫度下操作以最小化經發射電子之能量散佈。在另一實施例中,當可容忍一較大能
量散佈時,有益地在一高溫(諸如在約400K與約1000K之間的一溫度)下操作矽場發射器以減少黏附至場發射器之表面的污染且容許在一較不清潔的真空環境中操作矽場發射器。
熟習此項技術者將明白對所描述實施例之各種修改,且本文中定義之一般原理可應用於其他實施例。例如,額外電極可被放置成靠近電子發射器以控制發射及/或在一特定方向上聚焦且引導經發射電子。儘管預期本文中揭示之包括矽場發射器之電子槍將在各種種類之掃描電子顯微鏡及電子束微影系統中尤其有用,然亦可設想此等電子槍可用於其中需要高輻射及/或高電流電子束之其他應用中。
本文中描述之電子槍及製造方法並不意在受限於所展示及描述之特定實施例,而將符合與本文所揭示之原理及新穎特徵一致的最廣範疇。
儘管已關於一或多個特定實施例描述本發明,然將瞭解,可在不脫離本發明之範疇之情況下進行本發明之其他實施例。因此,本發明被視為僅受限於隨附發明申請專利範圍及其等之合理解釋。
100:掃描電子顯微鏡(SEM)系統
101:單晶矽基板
102:平坦(第一)表面
103:輸出(第二)表面
104:場發射器突出部
105:基底部分
106:尖端部分
110:SiC層
130:載台
131:樣本
140:電子槍
141:矽場發射器(陰極)
142:電極
143:腔室
144:孔隙
145:泵
150:主電子束
160:上柱
165:聚光透鏡
167:選用之偏轉器
170:下柱
171:最終透鏡
172:偏轉器
180:電極
181:偏轉器/電子偵測器
182a:偏轉器/電子偵測器
182b:偏轉器/電子偵測器
190:系統電腦
191:影像
E:電子
EF:電場
H1:高度
ID1:影像資料信號
ID2:影像資料信號
T1:厚度
T2:厚度
Claims (18)
- 一種電子槍,其包含經組態以產生一主電子束之一場發射器,其中該場發射器包括:一單晶矽基板,其具有相對之第一表面及第二表面且包含至少一個整合場發射器突出部,該至少一個整合場發射器突出部具有一體(integrally)連接至該單晶矽基板且自該第二表面延伸至一尖端部分之一固定部分,一碳化矽(SiC)層,其至少密閉式(hermetically)安置於該整合場發射器突出部之該尖端部分上,使得在操作期間,透過該尖端部分離開該單晶矽基板以形成該主電子束之電子僅穿過該SiC層,其中該SiC層包括至少75% SiC,及其中定位在距該尖端部分100nm之一半徑內之該SiC層之一部分包括小於10原子百分比之氧。
- 如請求項1之電子槍,其中該單晶矽基板經p型摻雜為具有小於約1019cm-3之一摻雜位準。
- 如請求項1之電子槍,其中該SiC層包括大於90原子百分比之SiC。
- 如請求項1之電子槍,其中該單晶矽基板經n型摻雜為具有在約1015cm-3與約1019cm-3之間的一摻雜位準。
- 如請求項1之電子槍,其中該SiC層具有在1nm至10nm之範圍中之一厚度。
- 如請求項1之電子槍,其中該整合場發射器突出部包括一圓錐、一錐體及一圓形晶鬚之一者;及其中該整合場發射器突出部之該尖端部分具有小於50nm之一側向尺寸。
- 如請求項6之電子槍,其中該整合場發射器突出部之該尖端部分具有大於5nm之一側向尺寸。
- 如請求項1之電子槍,其中該整合場發射器突出部包括一圓錐、一錐體及一圓形晶鬚之一者;及其中該整合場發射器突出部之該尖端部分具有小於50nm之一直徑。
- 如請求項1之電子槍,其中該場發射器經組態以在一反向偏壓模式中操作,其中歸因於一經施加電場而鄰近於該第二表面產生一空乏層。
- 如請求項1之電子槍,其中該場發射器進一步包括:一介電層,其經安置於該單晶矽基板之該第二表面上,鄰近於該整合場發射器突出部;及一導電閘極,其經安置於該介電層上,使得該導電閘極之一邊緣與 該整合場發射器突出部之該尖端部分間隔開達一預定距離,其中該介電層之厚度在該整合場發射器突出部之一高度之±300nm之一範圍內。
- 如請求項1之電子槍,其進一步包括經配置成一二維週期性圖案之複數個該等整合場發射器突出部,各該整合場發射器突出部具有一體連接至該單晶矽基板且自該第二表面延伸至一尖端部分之一相關聯該固定部分,其中該SiC層至少密閉式安置於該複數個整合場發射器突出部之各者之該尖端部分上。
- 如請求項11之電子槍,其中該場發射器進一步包括:一介電層,其經安置於該單晶矽基板之該第二表面上,鄰近於該複數個整合場發射器突出部;及一導電閘極,其經安置於該介電層上,使得該導電閘極之邊緣與該複數個整合場發射器突出部之各者之該尖端部分間隔開達一預定距離,其中該介電層之厚度在該複數個場發射器突出部之一標稱高度之±200nm之一範圍內。
- 一種電子槍,其包含經組態以產生一主電子束之一場發射器,其中該場發射器包括:一單晶矽基板,其具有相對之第一表面及第二表面且包含至少一個整合整合場發射器突出部,該整合場發射器突出部具有一體連接至該單晶矽基板且自該第二表面延伸至一尖端部分之一固定部分; 一碳化矽(SiC)層,其至少密閉式安置於該整合場發射器突出部之該尖端部分上,使得在操作期間,透過該尖端部分離開該單晶矽基板以形成該主電子束之電子僅穿過該SiC層,其中該SiC層包括至少75% SiC;及用於在操作期間將該場發射器維持在小於約10-10托之一真空位準之構件。
- 一種電子槍,其包含經組態以產生一主電子束之一場發射器,其中該場發射器包括:一單晶矽基板,其具有相對之第一表面及第二表面且包含至少一個整合場發射器突出部,該整合場發射器突出部具有一體連接至該單晶矽基板且自該第二表面延伸至一尖端部分之一固定部分;及一碳化矽(SiC)層,其至少密閉式安置於該整合場發射器突出部之該尖端部分上,使得在操作期間,透過該尖端部分離開該單晶矽基板以形成該主電子束之電子僅穿過該SiC層,其中該SiC層包括至少75% SiC,且其中該單晶矽基板具有大於約10μm之一厚度且經p型摻雜為具有小於約1014cm-3之一摻雜位準。
- 如請求項14之電子槍,其進一步包括經組態以照明該單晶矽基板之該第一表面之一光源,其中該光源包括一雷射二極體及一發光二極體之一者,其經組態使得該主電子束之一發射電流係可控制的(controllable)以與自該光源傳輸至該單晶矽基板中之光之一量成比例。
- 一種掃描電子顯微鏡(SEM),其包括:一電子槍,其包含經組態以產生一主電子束之一場發射器;電子光學器件,其等經組態以微縮該主電子束且將其聚焦於一樣本上;及一偵測器,其經組態以回應於該主電子束偵測反向散射電子及自該樣本透射之二次電子之至少一者,其中該場發射器包括:一單晶矽基板,其具有相對之第一表面及第二表面且包含至少一個整合場發射器突出部,該至少一個整合場發射器突出部具有一體連接至該單晶矽基板且自該第二表面延伸至一尖端部分之一固定部分,一碳化矽(SiC)層,其至少密閉式安置於該整合場發射器突出部之該尖端部分上,使得在操作期間,透過該尖端部分離開該單晶矽基板以形成該主電子束之電子僅穿過該SiC層,其中該SiC層包括至少75% SiC,及其中定位在距該尖端部分100nm之一半徑內之該SiC層之一部分包括小於10原子百分比之氧。
- 一種包含一電子槍之裝置,該電子槍包含經組態以產生一主電子束之一場發射器,其中該場發射器包括:一單晶矽基板,其具有相對之第一表面及第二表面且包含至少一個整合場發射器突出部,該至少一個整合場發射器突出部具有一體連接至該單晶矽基板且自該第二表面延伸至一尖端部分之一固定部分;及 一碳化矽(SiC)層,其至少密閉式安置於該整合場發射器突出部之該尖端部分上,使得在操作期間,透過該尖端部分離開該單晶矽基板以形成該主電子束之電子僅穿過該SiC層,其中該SiC層包括至少75% SiC,及其中定位在距該尖端部分100nm之一半徑內之該SiC層之一部分包括小於10原子百分比之氧。
- 如請求項17之裝置,其中該裝置包括一掃描電子顯微鏡(SEM)系統及一電子束微影系統之一者。
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201862744890P | 2018-10-12 | 2018-10-12 | |
US62/744,890 | 2018-10-12 | ||
US201962885624P | 2019-08-12 | 2019-08-12 | |
US62/885,624 | 2019-08-12 | ||
US16/568,110 US10943760B2 (en) | 2018-10-12 | 2019-09-11 | Electron gun and electron microscope |
US16/568,110 | 2019-09-11 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202022916A TW202022916A (zh) | 2020-06-16 |
TWI826547B true TWI826547B (zh) | 2023-12-21 |
Family
ID=70160400
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW108136702A TWI826547B (zh) | 2018-10-12 | 2019-10-09 | 電子槍及電子顯微鏡 |
Country Status (5)
Country | Link |
---|---|
US (1) | US10943760B2 (zh) |
KR (1) | KR20210097111A (zh) |
CN (1) | CN112740355B (zh) |
TW (1) | TWI826547B (zh) |
WO (1) | WO2020076528A1 (zh) |
Families Citing this family (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US11417492B2 (en) | 2019-09-26 | 2022-08-16 | Kla Corporation | Light modulated electron source |
US11719652B2 (en) | 2020-02-04 | 2023-08-08 | Kla Corporation | Semiconductor metrology and inspection based on an x-ray source with an electron emitter array |
US20230298847A1 (en) * | 2022-03-18 | 2023-09-21 | Kla Corporation | Electron gun and electron microscope |
Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
TW373220B (en) * | 1996-10-14 | 1999-11-01 | Hamamatsu Photonics Kk | Electron tube |
US6097139A (en) * | 1995-08-04 | 2000-08-01 | Printable Field Emitters Limited | Field electron emission materials and devices |
US6517405B1 (en) * | 1999-11-10 | 2003-02-11 | National Science Council | Process for forming a film on a substrate having a field emitter |
US6692323B1 (en) * | 2000-01-14 | 2004-02-17 | Micron Technology, Inc. | Structure and method to enhance field emission in field emitter device |
TW200407933A (en) * | 2002-08-22 | 2004-05-16 | Cdream Display Corp | Patterned granulized catalyst layer suitable for electron-emitting device, and associated fabrication method |
EP1746622A1 (en) * | 2004-03-29 | 2007-01-24 | Sumitomo Electric Industries, Ltd. | Method for forming carbonaceous material protrusion and carbonaceous material protrusion |
US20170047207A1 (en) * | 2015-08-14 | 2017-02-16 | Kla-Tencor Corporation | Electron Source |
Family Cites Families (214)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US133181A (en) * | 1872-11-19 | Improvement in car-couplings | ||
US3755704A (en) | 1970-02-06 | 1973-08-28 | Stanford Research Inst | Field emission cathode structures and devices utilizing such structures |
US3870917A (en) | 1971-05-10 | 1975-03-11 | Itt | Discharge device including channel type electron multiplier having ion adsorptive layer |
GB1444951A (en) | 1973-06-18 | 1976-08-04 | Mullard Ltd | Electronic solid state devices |
GB1536412A (en) | 1975-05-14 | 1978-12-20 | English Electric Valve Co Ltd | Photocathodes |
US4210922A (en) | 1975-11-28 | 1980-07-01 | U.S. Philips Corporation | Charge coupled imaging device having selective wavelength sensitivity |
NL7611593A (nl) | 1976-10-20 | 1978-04-24 | Optische Ind De Oude Delft Nv | Werkwijze voor het in een beeldversterkerbuis aanbrengen van een lichtabsorberende, voor elek- tronen doorlaatbare laag. |
JPS58146B2 (ja) | 1980-10-14 | 1983-01-05 | 浜松テレビ株式会社 | フレ−ミング管 |
US4348690A (en) | 1981-04-30 | 1982-09-07 | Rca Corporation | Semiconductor imagers |
US4555731A (en) | 1984-04-30 | 1985-11-26 | Polaroid Corporation | Electronic imaging camera with microchannel plate |
US4760031A (en) | 1986-03-03 | 1988-07-26 | California Institute Of Technology | Producing CCD imaging sensor with flashed backside metal film |
DE69027960T2 (de) | 1989-09-04 | 1997-01-09 | Canon Kk | Elektronen emittierendes Element und Verfahren zur Herstellung desselben |
NL8902271A (nl) | 1989-09-12 | 1991-04-02 | Philips Nv | Werkwijze voor het verbinden van twee lichamen. |
US5120949A (en) | 1991-01-17 | 1992-06-09 | Burle Technologies, Inc. | Semiconductor anode photomultiplier tube |
JP2828221B2 (ja) | 1991-06-04 | 1998-11-25 | インターナショナル・ビジネス・マシーンズ・コーポレイション | レーザー光波長変換装置 |
US5144630A (en) | 1991-07-29 | 1992-09-01 | Jtt International, Inc. | Multiwavelength solid state laser using frequency conversion techniques |
US5563702A (en) | 1991-08-22 | 1996-10-08 | Kla Instruments Corporation | Automated photomask inspection apparatus and method |
US5382867A (en) | 1991-10-02 | 1995-01-17 | Sharp Kabushiki Kaisha | Field-emission type electronic device |
US5199917A (en) | 1991-12-09 | 1993-04-06 | Cornell Research Foundation, Inc. | Silicon tip field emission cathode arrays and fabrication thereof |
US5229331A (en) | 1992-02-14 | 1993-07-20 | Micron Technology, Inc. | Method to form self-aligned gate structures around cold cathode emitter tips using chemical mechanical polishing technology |
US5376810A (en) | 1992-06-26 | 1994-12-27 | California Institute Of Technology | Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response |
US5227313A (en) | 1992-07-24 | 1993-07-13 | Eastman Kodak Company | Process for making backside illuminated image sensors |
US5315126A (en) | 1992-10-13 | 1994-05-24 | Itt Corporation | Highly doped surface layer for negative electron affinity devices |
US5475227A (en) | 1992-12-17 | 1995-12-12 | Intevac, Inc. | Hybrid photomultiplier tube with ion deflector |
US5326978A (en) | 1992-12-17 | 1994-07-05 | Intevac, Inc. | Focused electron-bombarded detector |
JP3044435B2 (ja) * | 1993-04-05 | 2000-05-22 | キヤノン株式会社 | 電子源及び画像形成装置 |
US5363021A (en) | 1993-07-12 | 1994-11-08 | Cornell Research Foundation, Inc. | Massively parallel array cathode |
US5559389A (en) * | 1993-09-08 | 1996-09-24 | Silicon Video Corporation | Electron-emitting devices having variously constituted electron-emissive elements, including cones or pedestals |
FI940740A0 (fi) | 1994-02-17 | 1994-02-17 | Arto Salokatve | Detektor foer paovisning av fotoner eller partiklar, foerfarande foer framstaellning av detektorn och maetningsfoerfarande |
US6271916B1 (en) | 1994-03-24 | 2001-08-07 | Kla-Tencor Corporation | Process and assembly for non-destructive surface inspections |
US5402749A (en) | 1994-05-03 | 1995-04-04 | The United States Of America As Represented By The Secretary Of The Navy | Ultra-high vacuum/chemical vapor deposition of epitaxial silicon-on-sapphire |
US5493176A (en) | 1994-05-23 | 1996-02-20 | Siemens Medical Systems, Inc. | Photomultiplier tube with an avalanche photodiode, a flat input end and conductors which simulate the potential distribution in a photomultiplier tube having a spherical-type input end |
US20080315092A1 (en) | 1994-07-28 | 2008-12-25 | General Nanotechnology Llc | Scanning probe microscopy inspection and modification system |
EP0702221A3 (en) | 1994-09-14 | 1997-05-21 | Delco Electronics Corp | Sensor integrated on a chip |
JPH08241977A (ja) | 1995-03-03 | 1996-09-17 | Hamamatsu Photonics Kk | 半導体装置の製造方法 |
US5713775A (en) * | 1995-05-02 | 1998-02-03 | Massachusetts Institute Of Technology | Field emitters of wide-bandgap materials and methods for their fabrication |
US5852322A (en) | 1995-05-19 | 1998-12-22 | Dr. Johannes Heidenhain Gmbh | Radiation-sensitive detector element and method for producing it |
US5731584A (en) | 1995-07-14 | 1998-03-24 | Imec Vzw | Position sensitive particle sensor and manufacturing method therefor |
US6362484B1 (en) | 1995-07-14 | 2002-03-26 | Imec Vzw | Imager or particle or radiation detector and method of manufacturing the same |
US6031250A (en) * | 1995-12-20 | 2000-02-29 | Advanced Technology Materials, Inc. | Integrated circuit devices and methods employing amorphous silicon carbide resistor materials |
US5999310A (en) | 1996-07-22 | 1999-12-07 | Shafer; David Ross | Ultra-broadband UV microscope imaging system with wide range zoom capability |
US5717518A (en) | 1996-07-22 | 1998-02-10 | Kla Instruments Corporation | Broad spectrum ultraviolet catadioptric imaging system |
US5742626A (en) | 1996-08-14 | 1998-04-21 | Aculight Corporation | Ultraviolet solid state laser, method of using same and laser surgery apparatus |
US5760899A (en) | 1996-09-04 | 1998-06-02 | Erim International, Inc. | High-sensitivity multispectral sensor |
US6201257B1 (en) | 1996-10-10 | 2001-03-13 | Advanced Scientific Concepts, Inc. | Semiconductor X-ray photocathodes devices |
US5940685A (en) | 1996-10-28 | 1999-08-17 | The United States Of America As Represented By The Secretary Of The Air Force | Fabrication of UV-sensitive back illuminated CCD image sensors |
JPH10171965A (ja) | 1996-12-05 | 1998-06-26 | Toshiba Corp | 積算型エリアセンサの画像入力方法及びその装置 |
US5828163A (en) * | 1997-01-13 | 1998-10-27 | Fed Corporation | Field emitter device with a current limiter structure |
US6193870B1 (en) * | 1997-05-01 | 2001-02-27 | The Regents Of The University Of California | Use of a hard mask for formation of gate and dielectric via nanofilament field emission devices |
US6107619A (en) | 1997-07-14 | 2000-08-22 | California Institute Of Technology | Delta-doped hybrid advanced detector for low energy particle detection |
US6608676B1 (en) | 1997-08-01 | 2003-08-19 | Kla-Tencor Corporation | System for detecting anomalies and/or features of a surface |
US6201601B1 (en) | 1997-09-19 | 2001-03-13 | Kla-Tencor Corporation | Sample inspection system |
US5965898A (en) * | 1997-09-25 | 1999-10-12 | Fed Corporation | High aspect ratio gated emitter structure, and method of making |
US6403963B1 (en) | 1997-09-29 | 2002-06-11 | California Institute Of Technology | Delta-doped CCD's as low-energy particle detectors and imagers |
US6278119B1 (en) | 1997-10-21 | 2001-08-21 | California Institute Of Technology | Using a delta-doped CCD to determine the energy of a low-energy particle |
US6255771B1 (en) * | 1997-12-04 | 2001-07-03 | Emagin Corporation | Flashover control structure for field emitter displays and method of making thereof |
US6297879B1 (en) | 1998-02-27 | 2001-10-02 | Micron Technology, Inc. | Inspection method and apparatus for detecting defects on photomasks |
US6376985B2 (en) | 1998-03-31 | 2002-04-23 | Applied Materials, Inc. | Gated photocathode for controlled single and multiple electron beam emission |
US6765217B1 (en) | 1998-04-28 | 2004-07-20 | Nikon Corporation | Charged-particle-beam mapping projection-optical systems and methods for adjusting same |
US6162707A (en) | 1998-05-18 | 2000-12-19 | The Regents Of The University Of California | Low work function, stable thin films |
US6373869B1 (en) | 1998-07-30 | 2002-04-16 | Actinix | System and method for generating coherent radiation at ultraviolet wavelengths |
US6013399A (en) | 1998-12-04 | 2000-01-11 | Advanced Micro Devices, Inc. | Reworkable EUV mask materials |
US6535531B1 (en) | 2001-11-29 | 2003-03-18 | Cymer, Inc. | Gas discharge laser with pulse multiplier |
US6657178B2 (en) | 1999-07-20 | 2003-12-02 | Intevac, Inc. | Electron bombarded passive pixel sensor imaging |
US6307586B1 (en) | 1999-07-20 | 2001-10-23 | Intevac, Inc. | Electron bombarded active pixel sensor camera incorporating gain control |
US6285018B1 (en) | 1999-07-20 | 2001-09-04 | Intevac, Inc. | Electron bombarded active pixel sensor |
KR100301066B1 (ko) * | 1999-08-16 | 2001-11-01 | 윤종용 | 비금속 도전물질로 구성된 음극판을 갖는 전자빔 조사장비 |
US6353286B1 (en) * | 1999-10-08 | 2002-03-05 | Motorola, Inc | Field emission display having a multi-layered barrier structure |
US6549647B1 (en) | 2000-01-07 | 2003-04-15 | Cyberoptics Corporation | Inspection system with vibration resistant video capture |
US6711283B1 (en) | 2000-05-03 | 2004-03-23 | Aperio Technologies, Inc. | Fully automatic rapid microscope slide scanner |
JP2002033473A (ja) | 2000-07-17 | 2002-01-31 | Hamamatsu Photonics Kk | 半導体装置 |
US6879390B1 (en) | 2000-08-10 | 2005-04-12 | Kla-Tencor Technologies Corporation | Multiple beam inspection apparatus and method |
US6507147B1 (en) | 2000-08-31 | 2003-01-14 | Intevac, Inc. | Unitary vacuum tube incorporating high voltage isolation |
JP2002184302A (ja) | 2000-12-18 | 2002-06-28 | Hamamatsu Photonics Kk | 半導体光電陰極 |
US6545281B1 (en) | 2001-07-06 | 2003-04-08 | The United States Of America As Represented By The United States Department Of Energy | Pocked surface neutron detector |
JP3573725B2 (ja) | 2001-08-03 | 2004-10-06 | 川崎重工業株式会社 | X線顕微鏡装置 |
JP2003043533A (ja) | 2001-08-03 | 2003-02-13 | Kitakyushu Foundation For The Advancement Of Industry Science & Technology | レーザーの第二高調波の方向を一定に保つための自動追尾装置 |
US7015452B2 (en) | 2001-10-09 | 2006-03-21 | Itt Manufacturing Enterprises, Inc. | Intensified hybrid solid-state sensor |
US6747258B2 (en) | 2001-10-09 | 2004-06-08 | Itt Manufacturing Enterprises, Inc. | Intensified hybrid solid-state sensor with an insulating layer |
AU2002356951A1 (en) | 2001-11-13 | 2003-05-26 | Nanosciences Corporation | Photocathode |
US7130039B2 (en) | 2002-04-18 | 2006-10-31 | Kla-Tencor Technologies Corporation | Simultaneous multi-spot inspection and imaging |
JP4165129B2 (ja) | 2002-06-21 | 2008-10-15 | 三菱電機株式会社 | 裏面入射型固体撮像素子 |
US20040021061A1 (en) | 2002-07-30 | 2004-02-05 | Frederik Bijkerk | Photodiode, charged-coupled device and method for the production |
US6864162B2 (en) | 2002-08-23 | 2005-03-08 | Samsung Electronics Co., Ltd. | Article comprising gated field emission structures with centralized nanowires and method for making the same |
DE10245052A1 (de) | 2002-09-26 | 2004-04-08 | Leo Elektronenmikroskopie Gmbh | Elektronenstrahlquelle und elektronenoptischer Apparat mit einer solchen |
US7446474B2 (en) | 2002-10-10 | 2008-11-04 | Applied Materials, Inc. | Hetero-junction electron emitter with Group III nitride and activated alkali halide |
US7283166B1 (en) | 2002-10-15 | 2007-10-16 | Lockheed Martin Corporation | Automatic control method and system for electron bombarded charge coupled device (“EBCCD”) sensor |
US7126699B1 (en) | 2002-10-18 | 2006-10-24 | Kla-Tencor Technologies Corp. | Systems and methods for multi-dimensional metrology and/or inspection of a specimen |
US6855645B2 (en) | 2002-12-30 | 2005-02-15 | Novellus Systems, Inc. | Silicon carbide having low dielectric constant |
US7005637B2 (en) | 2003-01-31 | 2006-02-28 | Intevac, Inc. | Backside thinning of image array devices |
US6990385B1 (en) | 2003-02-03 | 2006-01-24 | Kla-Tencor Technologies Corporation | Defect detection using multiple sensors and parallel processing |
GB2398118B (en) | 2003-02-07 | 2006-03-15 | Imp College Innovations Ltd | Photon arrival time detection |
US7141785B2 (en) | 2003-02-13 | 2006-11-28 | Micromass Uk Limited | Ion detector |
US7957066B2 (en) | 2003-02-21 | 2011-06-07 | Kla-Tencor Corporation | Split field inspection system using small catadioptric objectives |
JPWO2004088703A1 (ja) | 2003-03-28 | 2006-07-06 | 住友電気工業株式会社 | 冷極電子源と、これを用いたマイクロ波管及びその製造方法 |
US7813406B1 (en) | 2003-10-15 | 2010-10-12 | The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration | Temporal laser pulse manipulation using multiple optical ring-cavities |
US7321468B2 (en) | 2003-12-15 | 2008-01-22 | Carl Zeiss Laser Optics Gmbh | Method and optical arrangement for beam guiding of a light beam with beam delay |
US7313155B1 (en) | 2004-02-12 | 2007-12-25 | Liyue Mu | High power Q-switched laser for soft tissue ablation |
US7154091B2 (en) | 2004-04-02 | 2006-12-26 | California Institute Of Technology | Method and system for ultrafast photoelectron microscope |
JP4365255B2 (ja) | 2004-04-08 | 2009-11-18 | 浜松ホトニクス株式会社 | 発光体と、これを用いた電子線検出器、走査型電子顕微鏡及び質量分析装置 |
US7301263B2 (en) | 2004-05-28 | 2007-11-27 | Applied Materials, Inc. | Multiple electron beam system with electron transmission gates |
KR100688497B1 (ko) | 2004-06-28 | 2007-03-02 | 삼성전자주식회사 | 이미지 센서 및 그 제조방법 |
US7455565B2 (en) | 2004-10-13 | 2008-11-25 | The Board Of Trustees Of The Leland Stanford Junior University | Fabrication of group III-nitride photocathode having Cs activation layer |
JP4727206B2 (ja) * | 2004-10-21 | 2011-07-20 | 株式会社ワイ・ワイ・エル | 電子ビーム装置 |
US7187755B2 (en) | 2004-11-02 | 2007-03-06 | General Electric Company | Electron emitter assembly and method for generating electron beams |
US7952633B2 (en) | 2004-11-18 | 2011-05-31 | Kla-Tencor Technologies Corporation | Apparatus for continuous clocking of TDI sensors |
US7609309B2 (en) | 2004-11-18 | 2009-10-27 | Kla-Tencor Technologies Corporation | Continuous clocking of TDI sensors |
US7432517B2 (en) | 2004-11-19 | 2008-10-07 | Asml Netherlands B.V. | Pulse modifier, lithographic apparatus, and device manufacturing method |
JP4751617B2 (ja) | 2005-01-21 | 2011-08-17 | 株式会社日立ハイテクノロジーズ | 欠陥検査方法及びその装置 |
DE602006004913D1 (de) | 2005-04-28 | 2009-03-12 | Semiconductor Energy Lab | Verfahren und Vorrichtung zur Herstellung von Halbleitern mittels Laserstrahlung |
US7531826B2 (en) | 2005-06-01 | 2009-05-12 | Intevac, Inc. | Photocathode structure and operation |
US7345825B2 (en) | 2005-06-30 | 2008-03-18 | Kla-Tencor Technologies Corporation | Beam delivery system for laser dark-field illumination in a catadioptric optical system |
US7326328B2 (en) | 2005-07-19 | 2008-02-05 | General Electric Company | Gated nanorod field emitter structures and associated methods of fabrication |
JP4491391B2 (ja) | 2005-08-05 | 2010-06-30 | 株式会社日立ハイテクノロジーズ | 欠陥検査装置及び欠陥検査方法 |
JP5403852B2 (ja) | 2005-08-12 | 2014-01-29 | 株式会社荏原製作所 | 検出装置及び検査装置 |
US7864425B2 (en) | 2005-09-16 | 2011-01-04 | Panasonic Corporation | Composite material and optical component using the same |
JP4925085B2 (ja) | 2005-09-20 | 2012-04-25 | 株式会社メガオプト | 深紫外レーザー光の発生方法および深紫外レーザー装置 |
WO2007044191A2 (en) | 2005-09-21 | 2007-04-19 | Rjs Technology, Inc. | High resolution and wide dynamic range integrator |
JP4894223B2 (ja) * | 2005-10-26 | 2012-03-14 | ソニー株式会社 | 平面型表示装置 |
US7715459B2 (en) | 2005-11-01 | 2010-05-11 | Cymer, Inc. | Laser system |
JP2007133102A (ja) | 2005-11-09 | 2007-05-31 | Canon Inc | 反射防止膜を有する光学素子及びそれを有する露光装置 |
US7528943B2 (en) | 2005-12-27 | 2009-05-05 | Kla-Tencor Technologies Corporation | Method and apparatus for simultaneous high-speed acquisition of multiple images |
JP2007193190A (ja) * | 2006-01-20 | 2007-08-02 | Sony Corp | 平面型表示装置の駆動方法 |
JP4911494B2 (ja) | 2006-03-18 | 2012-04-04 | 国立大学法人大阪大学 | 波長変換光学素子、波長変換光学素子の製造方法、波長変換装置、紫外線レーザ照射装置およびレーザ加工装置 |
WO2007112058A2 (en) | 2006-03-24 | 2007-10-04 | Applied Materials, Inc. | Carbon precursors for use during silicon epitaxial firm formation |
US7113325B1 (en) | 2006-05-03 | 2006-09-26 | Mitsubishi Materials Corporation | Wavelength conversion method with improved conversion efficiency |
EP2033036A4 (en) | 2006-06-13 | 2009-07-15 | Invent Technologies Llc | DEVICE AND METHOD FOR OPTICAL UV DEEP MICROSCOPY |
US7457330B2 (en) | 2006-06-15 | 2008-11-25 | Pavilion Integration Corporation | Low speckle noise monolithic microchip RGB lasers |
US8482197B2 (en) | 2006-07-05 | 2013-07-09 | Hamamatsu Photonics K.K. | Photocathode, electron tube, field assist type photocathode, field assist type photocathode array, and field assist type electron tube |
US7791170B2 (en) | 2006-07-10 | 2010-09-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of making a deep junction for electrical crosstalk reduction of an image sensor |
US7800040B2 (en) | 2006-09-21 | 2010-09-21 | California Institute Of Technology | Method for growing a back surface contact on an imaging detector used in conjunction with back illumination |
KR100826407B1 (ko) | 2006-10-12 | 2008-05-02 | 삼성전기주식회사 | 자외선 수광용 포토 다이오드 및 이를 포함하는 이미지센서 |
KR100874954B1 (ko) | 2006-12-04 | 2008-12-19 | 삼성전자주식회사 | 후면 수광 이미지 센서 |
JP5342769B2 (ja) | 2006-12-28 | 2013-11-13 | 浜松ホトニクス株式会社 | 光電陰極、電子管及び光電子増倍管 |
US20080173903A1 (en) | 2006-12-28 | 2008-07-24 | Fujifilm Corporation | Solid-state image pickup element |
CN107059116B (zh) | 2007-01-17 | 2019-12-31 | 晶体公司 | 引晶的氮化铝晶体生长中的缺陷减少 |
US9771666B2 (en) | 2007-01-17 | 2017-09-26 | Crystal Is, Inc. | Defect reduction in seeded aluminum nitride crystal growth |
US20080239105A1 (en) | 2007-03-30 | 2008-10-02 | Weize Xu | Sample and hold circuits for cmos imagers |
US8755417B1 (en) | 2007-04-16 | 2014-06-17 | Kla-Tencor Corporation | Coherent light generation below about two-hundred nanometers |
US20110073982A1 (en) | 2007-05-25 | 2011-03-31 | Armstrong J Joseph | Inspection system using back side illuminated linear sensor |
US8665536B2 (en) | 2007-06-19 | 2014-03-04 | Kla-Tencor Corporation | External beam delivery system for laser dark-field illumination in a catadioptric optical system |
US8138485B2 (en) | 2007-06-25 | 2012-03-20 | Asml Netherlands B.V. | Radiation detector, method of manufacturing a radiation detector, and lithographic apparatus comprising a radiation detector |
US7586108B2 (en) | 2007-06-25 | 2009-09-08 | Asml Netherlands B.V. | Radiation detector, method of manufacturing a radiation detector and lithographic apparatus comprising a radiation detector |
WO2009009081A2 (en) | 2007-07-10 | 2009-01-15 | Massachusetts Institute Of Technology | Tomographic phase microscopy |
WO2009012222A1 (en) | 2007-07-13 | 2009-01-22 | Purdue Research Foundation | Time-resolved raman spectroscopy |
US7999342B2 (en) | 2007-09-24 | 2011-08-16 | Taiwan Semiconductor Manufacturing Company, Ltd | Image sensor element for backside-illuminated sensor |
JP5039495B2 (ja) | 2007-10-04 | 2012-10-03 | ルネサスエレクトロニクス株式会社 | マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法 |
US7525649B1 (en) | 2007-10-19 | 2009-04-28 | Kla-Tencor Technologies Corporation | Surface inspection system using laser line illumination with two dimensional imaging |
JP5132262B2 (ja) | 2007-11-02 | 2013-01-30 | 三菱電機株式会社 | 裏面入射型リニアイメージセンサ、その駆動方法、及びその製造方法 |
US7741666B2 (en) | 2008-02-08 | 2010-06-22 | Omnivision Technologies, Inc. | Backside illuminated imaging sensor with backside P+ doped layer |
EP2294471A4 (en) | 2008-06-17 | 2014-01-22 | Kla Tencor Corp | EXTERNAL BEAM DELIVERY SYSTEM USING A CATADIOPTRIC OBJECTIVE WITH ASPHEREIC SURFACES |
US20120170021A1 (en) | 2008-09-02 | 2012-07-05 | Phillip Walsh | Method and apparatus for providing multiple wavelength reflectance magnitude and phase for a sample |
US7875948B2 (en) | 2008-10-21 | 2011-01-25 | Jaroslav Hynecek | Backside illuminated image sensor |
US7880127B2 (en) | 2008-10-27 | 2011-02-01 | Itt Manufacturing Enterprises, Inc. | Apparatus and method for aligning an image sensor including a header alignment means |
TW201019370A (en) * | 2008-11-14 | 2010-05-16 | Tatung Co | Field emission cathode plate and method for manufacturing the same |
JP5732707B2 (ja) | 2008-12-16 | 2015-06-10 | ナム タイ,ヒョク | ノイズキャンセリングの撮像素子およびその方法 |
US7888171B2 (en) * | 2008-12-22 | 2011-02-15 | Raytheon Company | Fabricating a gallium nitride layer with diamond layers |
US8017427B2 (en) | 2008-12-31 | 2011-09-13 | Omnivision Technologies, Inc. | Backside-illuminated (BSI) image sensor with backside diffusion doping |
WO2010085478A1 (en) | 2009-01-22 | 2010-07-29 | Bae Systems Information And Electronic Systems Inc. | Corner cube enhanced photocathode |
US8624971B2 (en) | 2009-01-23 | 2014-01-07 | Kla-Tencor Corporation | TDI sensor modules with localized driving and signal processing circuitry for high speed inspection |
US8625012B2 (en) | 2009-02-05 | 2014-01-07 | The Hong Kong University Of Science And Technology | Apparatus and method for improving dynamic range and linearity of CMOS image sensor |
US20100301437A1 (en) | 2009-06-01 | 2010-12-02 | Kla-Tencor Corporation | Anti-Reflective Coating For Sensors Suitable For High Throughput Inspection Systems |
US7985658B2 (en) | 2009-06-08 | 2011-07-26 | Aptina Imaging Corporation | Method of forming substrate for use in imager devices |
US8553217B2 (en) | 2009-06-19 | 2013-10-08 | Kla-Tencor Corporation | EUV high throughput inspection system for defect detection on patterned EUV masks, mask blanks, and wafers |
NL2004539A (en) | 2009-06-22 | 2010-12-23 | Asml Netherlands Bv | Object inspection systems and methods. |
US9023152B2 (en) | 2009-09-17 | 2015-05-05 | Kla-Tencor Corporation | CLBO crystal growth |
US8629384B1 (en) | 2009-10-26 | 2014-01-14 | Kla-Tencor Corporation | Photomultiplier tube optimized for surface inspection in the ultraviolet |
WO2011081892A2 (en) | 2009-12-15 | 2011-07-07 | Saint-Gobain Ceramics & Plastics, Inc. | Radiation detection system and method of analyzing an electrical pulse output by a radiation detector |
EP2346094A1 (en) | 2010-01-13 | 2011-07-20 | FEI Company | Method of manufacturing a radiation detector |
EP2526566B1 (en) | 2010-01-21 | 2018-03-07 | Roper Scientific, Inc. | Solid state back-illuminated photon sensor and its method of fabrication |
AU2011207381B2 (en) | 2010-01-22 | 2016-06-09 | The Board Of Trustees Of The Leland Stanford Junior University | Inhibition of AXL signaling in anti-metastatic therapy |
US8558234B2 (en) | 2010-02-11 | 2013-10-15 | California Institute Of Technology | Low voltage low light imager and photodetector |
EP2553407A4 (en) | 2010-03-29 | 2017-05-03 | Intevac, Inc. | Time resolved photoluminescence imaging systems and methods for photovoltaic cell inspection |
US8269223B2 (en) | 2010-05-27 | 2012-09-18 | The United States Of America As Represented By The Secretary Of The Army | Polarization enhanced avalanche photodetector and method thereof |
US8310021B2 (en) | 2010-07-13 | 2012-11-13 | Honeywell International Inc. | Neutron detector with wafer-to-wafer bonding |
US8605173B2 (en) | 2010-08-16 | 2013-12-10 | SK Hynix Inc. | Differential column ADC architectures for CMOS image sensor applications |
EP2652776B1 (en) | 2010-12-16 | 2019-08-07 | KLA-Tencor Corporation | Wafer inspection |
US8669512B2 (en) | 2010-12-28 | 2014-03-11 | Technion Research & Development Foundation Limited | System and method for analyzing light by three-photon counting |
US8513587B2 (en) | 2011-01-24 | 2013-08-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Image sensor with anti-reflection layer and method of manufacturing the same |
US8455971B2 (en) | 2011-02-14 | 2013-06-04 | Taiwan Semiconductor Manufacturing Company, Ltd. | Apparatus and method for improving charge transfer in backside illuminated image sensor |
JP2012189385A (ja) | 2011-03-09 | 2012-10-04 | Fujifilm Corp | 放射線画像検出装置の保守方法 |
US9318870B2 (en) | 2011-05-06 | 2016-04-19 | Kla-Tencor Corporation | Deep ultra-violet light sources for wafer and reticle inspection systems |
WO2013006867A1 (en) | 2011-07-07 | 2013-01-10 | Massachussetts Institute Of Technology | Methods and apparatus for ultrathin catalyst layer for photoelectrode |
US9279774B2 (en) | 2011-07-12 | 2016-03-08 | Kla-Tencor Corp. | Wafer inspection |
KR101900273B1 (ko) | 2011-07-15 | 2018-09-21 | 삼성전자 주식회사 | 시모스 이미지 센서 |
US8873596B2 (en) | 2011-07-22 | 2014-10-28 | Kla-Tencor Corporation | Laser with high quality, stable output beam, and long life high conversion efficiency non-linear crystal |
US8871557B2 (en) | 2011-09-02 | 2014-10-28 | Electronics And Telecommunications Research Institute | Photomultiplier and manufacturing method thereof |
US8748828B2 (en) | 2011-09-21 | 2014-06-10 | Kla-Tencor Corporation | Interposer based imaging sensor for high-speed image acquisition and inspection systems |
US20130077086A1 (en) | 2011-09-23 | 2013-03-28 | Kla-Tencor Corporation | Solid-State Laser And Inspection System Using 193nm Laser |
US8872159B2 (en) | 2011-09-29 | 2014-10-28 | The United States Of America, As Represented By The Secretary Of The Navy | Graphene on semiconductor detector |
US9250178B2 (en) | 2011-10-07 | 2016-02-02 | Kla-Tencor Corporation | Passivation of nonlinear optical crystals |
US10197501B2 (en) | 2011-12-12 | 2019-02-05 | Kla-Tencor Corporation | Electron-bombarded charge-coupled device and inspection systems using EBCCD detectors |
US8754972B2 (en) | 2012-02-01 | 2014-06-17 | Kla-Tencor Corporation | Integrated multi-channel analog front end and digitizer for high speed imaging applications |
US9496425B2 (en) | 2012-04-10 | 2016-11-15 | Kla-Tencor Corporation | Back-illuminated sensor with boron layer |
US10079257B2 (en) | 2012-04-13 | 2018-09-18 | Taiwan Semiconductor Manufacturing Co., Ltd. | Anti-reflective layer for backside illuminated CMOS image sensors |
US20130313440A1 (en) | 2012-05-22 | 2013-11-28 | Kla-Tencor Corporation | Solid-State Laser And Inspection System Using 193nm Laser |
KR101914231B1 (ko) | 2012-05-30 | 2018-11-02 | 삼성디스플레이 주식회사 | 주사 전자 현미경을 이용한 검사 시스템 |
US8976343B2 (en) | 2012-06-21 | 2015-03-10 | Kla-Tencor Corporation | Laser crystal degradation compensation |
US9601299B2 (en) | 2012-08-03 | 2017-03-21 | Kla-Tencor Corporation | Photocathode including silicon substrate with boron layer |
NL2011568A (en) | 2012-10-31 | 2014-05-06 | Asml Netherlands Bv | Sensor and lithographic apparatus. |
US9426400B2 (en) | 2012-12-10 | 2016-08-23 | Kla-Tencor Corporation | Method and apparatus for high speed acquisition of moving images using pulsed illumination |
US8912615B2 (en) | 2013-01-24 | 2014-12-16 | Osi Optoelectronics, Inc. | Shallow junction photodiode for detecting short wavelength light |
US8929406B2 (en) | 2013-01-24 | 2015-01-06 | Kla-Tencor Corporation | 193NM laser and inspection system |
US9529182B2 (en) | 2013-02-13 | 2016-12-27 | KLA—Tencor Corporation | 193nm laser and inspection system |
US9608399B2 (en) | 2013-03-18 | 2017-03-28 | Kla-Tencor Corporation | 193 nm laser and an inspection system using a 193 nm laser |
US9478402B2 (en) | 2013-04-01 | 2016-10-25 | Kla-Tencor Corporation | Photomultiplier tube, image sensor, and an inspection system using a PMT or image sensor |
US11180866B2 (en) | 2013-04-10 | 2021-11-23 | Kla Corporation | Passivation of nonlinear optical crystals |
US9350921B2 (en) | 2013-06-06 | 2016-05-24 | Mitutoyo Corporation | Structured illumination projection with enhanced exposure control |
US9347890B2 (en) | 2013-12-19 | 2016-05-24 | Kla-Tencor Corporation | Low-noise sensor and an inspection system using a low-noise sensor |
US9748294B2 (en) | 2014-01-10 | 2017-08-29 | Hamamatsu Photonics K.K. | Anti-reflection layer for back-illuminated sensor |
US9804101B2 (en) | 2014-03-20 | 2017-10-31 | Kla-Tencor Corporation | System and method for reducing the bandwidth of a laser and an inspection system and method using a laser |
JP2015201412A (ja) | 2014-04-10 | 2015-11-12 | 日本放送協会 | 微小電子放出源、電子源アレイ及びその製造方法 |
US20190134604A1 (en) * | 2016-03-06 | 2019-05-09 | Waters Technologies Corporation | Porous materials with controlled porosity; process for the preparation thereof; and use thereof for chromatographic separations |
US9966230B1 (en) | 2016-10-13 | 2018-05-08 | Kla-Tencor Corporation | Multi-column electron beam lithography including field emitters on a silicon substrate with boron layer |
-
2019
- 2019-09-11 US US16/568,110 patent/US10943760B2/en active Active
- 2019-09-28 KR KR1020217014038A patent/KR20210097111A/ko unknown
- 2019-09-28 WO PCT/US2019/053707 patent/WO2020076528A1/en active Application Filing
- 2019-09-28 CN CN201980061438.1A patent/CN112740355B/zh active Active
- 2019-10-09 TW TW108136702A patent/TWI826547B/zh active
Patent Citations (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6097139A (en) * | 1995-08-04 | 2000-08-01 | Printable Field Emitters Limited | Field electron emission materials and devices |
TW373220B (en) * | 1996-10-14 | 1999-11-01 | Hamamatsu Photonics Kk | Electron tube |
US6517405B1 (en) * | 1999-11-10 | 2003-02-11 | National Science Council | Process for forming a film on a substrate having a field emitter |
US6692323B1 (en) * | 2000-01-14 | 2004-02-17 | Micron Technology, Inc. | Structure and method to enhance field emission in field emitter device |
TW200407933A (en) * | 2002-08-22 | 2004-05-16 | Cdream Display Corp | Patterned granulized catalyst layer suitable for electron-emitting device, and associated fabrication method |
EP1746622A1 (en) * | 2004-03-29 | 2007-01-24 | Sumitomo Electric Industries, Ltd. | Method for forming carbonaceous material protrusion and carbonaceous material protrusion |
US20170047207A1 (en) * | 2015-08-14 | 2017-02-16 | Kla-Tencor Corporation | Electron Source |
Also Published As
Publication number | Publication date |
---|---|
US10943760B2 (en) | 2021-03-09 |
WO2020076528A1 (en) | 2020-04-16 |
CN112740355B (zh) | 2023-12-19 |
US20200118783A1 (en) | 2020-04-16 |
KR20210097111A (ko) | 2021-08-06 |
CN112740355A (zh) | 2021-04-30 |
TW202022916A (zh) | 2020-06-16 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7236515B2 (ja) | 電子源 | |
TWI826547B (zh) | 電子槍及電子顯微鏡 | |
TWI733920B (zh) | 電子束微影系統 | |
US11715615B2 (en) | Light modulated electron source | |
US20230298847A1 (en) | Electron gun and electron microscope | |
CN117999628A (zh) | 电子枪及电子显微镜 | |
TWI840615B (zh) | 光調變電子源、用於調變電子束之方法、及包含電子源之裝置 |