CN112609168A - 一种快速清洗大面积真空腔室内累积薄膜的方法 - Google Patents

一种快速清洗大面积真空腔室内累积薄膜的方法 Download PDF

Info

Publication number
CN112609168A
CN112609168A CN202011370190.8A CN202011370190A CN112609168A CN 112609168 A CN112609168 A CN 112609168A CN 202011370190 A CN202011370190 A CN 202011370190A CN 112609168 A CN112609168 A CN 112609168A
Authority
CN
China
Prior art keywords
cleaning
gas
time
residence time
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202011370190.8A
Other languages
English (en)
Other versions
CN112609168B (zh
Inventor
蓝仕虎
张丽平
刘正新
孟凡英
程琼
周华
谢毅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tongwei Solar Chengdu Co Ltd
Original Assignee
Zhongwei New Energy Chengdu Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zhongwei New Energy Chengdu Co ltd filed Critical Zhongwei New Energy Chengdu Co ltd
Priority to CN202011370190.8A priority Critical patent/CN112609168B/zh
Publication of CN112609168A publication Critical patent/CN112609168A/zh
Application granted granted Critical
Publication of CN112609168B publication Critical patent/CN112609168B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明公开了一种快速清洗大面积真空腔室内累积薄膜的方法,涉及半导体光电转换领域,包括以下步骤:步骤S1:第一步清洗,向沉积腔室通入第一清洗气体,产生等离子体,调整第一压力和第一清洗气体流量将所述等离子体的滞留时间调整为第一滞留时间,清洗时间为第一清洗时间;步骤S2:第二步清洗,向沉积腔室通入第二清洗气体,产生等离子体,调整第二压力和第二清洗气体流量将所述等离子体的滞留时间调整为第二滞留时间,清洗时间为第二清洗时间。采用两种或者多种气体滞留时间相组合的方式对沉积腔内表面和托盘进行清洗,减少清洗时间,又可以提高清洗均匀性,同时降低清洗气体的使用量,提升设备有效生产的时间,实现了产能增加而成本降低。

Description

一种快速清洗大面积真空腔室内累积薄膜的方法
技术领域
本发明涉及半导体光电转换领域,具体的涉及一种快速清洗大面积真空腔室内累积薄膜的方法。
背景技术
化学气相沉积是通过气体混合的化学反应在表面沉积一层薄膜,通常化学气相沉积包括常压化学气相沉积(APCVD)、低压化学气相沉积(LPCVD)、等离子体增强化学气相沉积(PECVD)、高密度等离子体化学气相沉积(HDPCVD)、触媒化学气相沉积(Cat-CVD)。
在CVD镀膜的过程中,除了在样品的表面沉积需要的薄膜,也会在沉积腔的内壁以及放置样品的托盘表面沉积薄膜,当薄膜厚度不断累积,会导致反应过程中的沉积腔环境不断变化,影响沉积速率及其均匀性等反应参数,当累积的厚度超过一定的范围后,沉积腔内部和托盘表面的薄膜由于应力变大和附着力变小的原因,容易从电极或腔壁上剥落产生大量粉尘甚至较大的颗粒散落在样品的生长表面,严重影响制程,造成产品性能和良率的降低。因此,当沉积腔内壁和托盘表面累积的薄膜达到预定厚度以后,就要对沉积腔和托盘进行清洗,以消除粉末、颗粒物对样品性能的影响,提高产品的良率和稳定性。
现有对腔内部件的清洗工艺包括喷砂、湿洗和原位等离子体清洗,其中原位等离子清洗区别于前两种方法具有无需开腔和维护时间短等优点,因此在CVD领域,常用的清洗方式是原位等离子体清洗。常用的原位清洗包括平板电极放电和远程等离子体(RPS,remote plasma source)清洗,RPS清洗方式在沉积腔外将清洗气体电离,再利用气流等将刻蚀性等离子体引入沉积腔,以达到清洗的目的,要求等离子体馈入点距离内腔壁不能太远,且需要单独配置昂贵的等离子体发生器分解刻蚀类气体(如NF3或CF4等);而平板电极放电是在沉积腔内利用沉积薄膜的射频馈入平板形成电场,将清洗气体电离,依靠等离子体中活性粒子与累积薄膜反应生成易挥发性的物质被气流带走,从而达到去除累积薄膜的目的,由于清洗用的平板电极兼容薄膜的沉积而无需再另外购置等离子发生器,因此平板电极放电是一种被广泛采用的原位清洗累积薄膜的方法。
平板电极放电原位清洗的速率和沉积腔内的等离子体数量、等离子体分布相关,决定因素有气体总流量、电极间距、压力和功率等。对于大尺寸的沉积腔,对腔体内部功率馈入点中心的清洗速度大于对远离馈入点的清洗速度,常常导致清洗不均匀、耗时长且清洗效果差。增加功率可以适当的提高清洗效果,但是过高的功率对沉积腔室内部元器件和托盘中心可能造成严重的过刻蚀作用,产生不可逆的损坏;增加气体流量也可以适当的提高清洗效果,但是由于功率的限制,过多增加的气体并不会被分解,反而影响清洗效果,同时还会增加气体耗量,增加生产成本;因此,只有增加清洗时间才能达到预计的清洗效果,但最终导致清洗时间过长,降低设备利用率而影响产能。
因此,如何减小清洗时间、提高清洗均匀性,同时降低清洗气体的使用量就成为本领域技术人员亟待解决的问题。
发明内容
本发明的目的在于:针对上述存在的问题,本发明提供一种快速清洗大面积真空腔室内累积薄膜的方法,避免常规的清洗方法由于等离子体在腔室内各个区域密度不均匀导致清洗不均匀的现象导致的一部分区域清洗不干净,一部分区域过度清洗导致腔室被表面和托盘损坏的问题。
本发明采用的技术方案如下:
一种快速清洗大面积真空腔室内累积薄膜的方法,包括以下步骤:
步骤S1:第一步清洗,向沉积腔室通入第一清洗气体,开启电源,产生等离子体,调整第一压力和第一清洗气体流量将所述等离子体的滞留时间调整为第一滞留时间,清洗时间为第一清洗时间;
步骤S2:第二步清洗,向沉积腔室通入第二清洗气体,开启电源,产生等离子体,调整第二压力和第二清洗气体流量将所述等离子体的滞留时间调整为第二滞留时间,清洗时间为第二清洗时间;其中第一滞留时间小于或者大于第二滞留时间。
腔室内各个区域的清洗速率由该区域等离子的密度和气体的刻蚀能力决定,等离子体的密度受工艺气体流量、压力、射频功率、电极间距、滞留时间等的影响。在一定的条件下,气体滞留时间和压力成正比,和气体总流量成反比(
Figure BDA0002806399180000031
τ-气体滞留时间,P-压力,Ftotal-气体总流量)。当滞留时间越短,相对的抽速越快,更多的等离子体流向腔体四周抽气的区域,此区域的清洗效果越好;当滞留时间越长,相应的抽速越慢,较多的等离子体有机会集中在沉积腔内远离抽气口的区域,此区域的清洗效率会有较大提升。
本发明通过采用两种或者多种气体滞留时间周期性结合的方式,来控制腔室内部各个区域的等离子体密度,从而调整各个区域薄膜的刻蚀速率,对沉积腔内表面和托盘进行清洗,既可以减小清洗时间,又可以提高清洗均匀性,同时能降低清洗气体的使用量,起到提高产量降低成本的作用。
需要说明的是本发明所述清洗方法不局限于两步清洗方法,可以是周期性交替变换气体滞留时间的多步骤组合。
其中,采用射频源或高频源馈入平板电极形成电场,对所述清洗气体等离子体化。
优选地,所述第一滞留时间小于或大于第二滞留时间。
优选地,所述第一清洗时间小于或大于第二清洗时间。
优选地,所述第一滞留时间大于第二滞留时间,所述第一清洗时间与所述第二清洗时间之比大于等于1/12且小于等于1/3。
优选地,所述第一滞留时间小于第二滞留时间,所述第二清洗时间与所述第一清洗时间之比大于等于1/12且小于等于1/3。
优选地,所述第一清洗气体和第二清洗气体均由含氟气体和惰性气体组成,所述含氟气体和惰性气体的体积比为3:1-25:1。
优选地,第一压力为0.2-2mBar,第一清洗气体含氟气体流量为200-5000sccm,惰性气体流量为100-2000sccm;所述第二压力为0-0.5mBar,第二清洗气体的含氟气体流量为100-3000sccm,惰性气体流量为10-1000sccm。
优选地,所述第一压力为0-0.5mBar,第一清洗气体的含氟气体流量为100-3000sccm,惰性气体流量为10-1000sccm;第二压力为0.2-2mBar,第二清洗气体含氟气体流量为200-5000sccm,惰性气体流量为100-2000sccm。
优选地,所述含氟气体包括NF3、C2F6、CF4、CHF3、F2中的一种或任意体积比组合,惰性气体为Ar。
优选地,所述沉积腔包括等离子体化学气相沉积腔、等离子体增强化学气相沉积腔或常压化学气相沉积腔。
优选地,被清洗的所述累积薄膜包括硅基薄膜和碳基薄膜。
优选地,所述硅基薄膜包括非晶硅薄膜和微晶硅薄膜。
与现有的技术相比本发明的有益效果是:
本发明提供一种加快清洗沉积腔的方法,通过压力和流量调整等离子体在沉积腔室内部的滞留时间,当滞留时间越短,相对的抽速越快,更多的等离子体集中在沉积腔四周接近抽气口的区域,此区域的清洗效果越好;当滞留时间越长,相应的抽速越慢,更多的等离子体集中在沉积腔室内远离抽气口的区域,此区域的清洗效果越好。通过采用两种或者多种气体滞留时间相组合的方式对沉积腔内表面和托盘进行清洗,既可以减小清洗时间,又可以提高清洗均匀性,同时降低清洗气体的使用量,可以提升设备有效生产的时间,实现产能增加而降低成本。
附图说明
图1为本发明提供的沉积腔室的清洗方法的流程框图;
图2为本发明沉积腔室等离子体内部滞留环境左视图;
图3为本发明沉积腔室等离子体内部滞留环境俯视图。
具体实施方式
为了使本发明的目的、技术方案及优点更加清楚明白,对本发明进行进一步详细说明。应当理解,此处所描述的具体实施例仅用以解释本发明,并不用于限定本发明,即所描述的实施例是本发明一部分实施例,而不是全部的实施例。
本发明提供一种加快清洗腔室内累积薄膜的方法,通过压力和流量调整等离子体在沉积腔室内部的滞留时间,当滞留时间越短,相对的抽速越快,更多的等离子体集中在沉积腔四周抽气的区域,此区域的清洗效果越好;当滞留时间越长,相应的抽速越慢,更多的等离子体集中在远离抽气口区域,此区域的清洗效果越好。本发明提出了一种快速清洗沉积腔的方法,采用两种或者多种气体滞留时间相结合的方式对沉积腔内表面和托盘进行清洗。
实施例1
一种快速清洗大面积真空腔室内累积薄膜的方法,包括以下步骤:
步骤S1:第一步清洗,向沉积腔室通入第一清洗气体,开启电源,产生等离子体,调整第一压力和第一清洗气体流量将所述等离子体的滞留时间调整为第一滞留时间,清洗时间为第一清洗时间;
步骤S2:第二步清洗,向沉积腔室通入清洗气体,开启电源,产生等离子体,调整第二压力和第二清洗气体流量将所述等离子体的滞留时间调整为第二滞留时间,清洗时间为第一清洗时间。
其中,所述清洗气体由含氟气体和惰性气体组成,含氟气体包括:NF3、C2F6、CF4、CHF3、F2、一种或任意组合,惰性气体为Ar等。采用射频源13.56MHz电源对所述对清洗气体等离子体化。
其中,所述沉积腔为等离子体增强化学气相沉积腔。所述第一滞留时间小于第二滞留时间。所述第一清洗时间大于第二清洗时间。所述薄膜包括含硅薄膜。所述含硅薄膜包括非晶硅薄膜或微晶硅薄膜。
清洗时间是根据腔室薄膜沉积的厚度来决定,厚度不同时间不同,所述第二清洗时间与所述第一清洗时间之比大于等于1/12,小于等于1/3。滞留时间长,压力和流量相对大一些,清洗时间相对短一些。滞留时间短,压力、流量小,清洗时间相对长。其中,所述第一压力为0-0.5mBar,第一清洗气体的含氟气体流量为100-3000sccm,Ar流量为10-1000sccm,第二压力为0.2-2mBar,第二清洗气体含氟气体流量为200-5000sccm,Ar流量为100-2000sccm。
所述第一滞留时间通过调整清洗气体的第一压力和第一清洗气体流量来控制,如图2、3所示,让等离子体沿着气流方向301移动,等离子体集中在沉积腔的四周301区域,301区域的等离子体密度大于401区域的等离子体密度,主要清洗沉积腔和托盘四周101区域,所述第二滞留时间通过调整清洗气体的第二压力和第二清洗气体流量来控制,如图2、3所示,让等离子体沿着气流方向401移动,等离子体集中在沉积腔中间401区域,401区域的等离子体密度大于301区域的等离子体密度,主要清洗沉积腔和托盘四周201区域薄膜。
实施例2
一种快速清洗大面积真空腔室内累积薄膜的方法,包括以下步骤:
步骤S1:第一步清洗,向沉积腔室通入清洗气体,开启电源,产生等离子体,调整第一压力和第一清洗气体流量将所述等离子体的滞留时间调整为第一滞留时间,清洗时间为第一清洗时间;
步骤S2:第二步清洗,向沉积腔室通入清洗气体,开启电源,产生等离子体,调整第二压力和第二清洗气体流量将所述等离子体的滞留时间调整为第二滞留时间,清洗时间为第一清洗时间。
所述清洗气体由含氟气体和惰性气体组成,含氟气体包括:NF3、C2F6、CF4、CHF3、F2、一种或任意组合,惰性气体为Ar。
其中,采用高频源(40.68MHz)对所述对清洗气体等离子体化。所述沉积腔包括:等离子体化学气相沉积腔、等离子体增强化学气相沉积腔。所述第一滞留时间大于第二滞留时间。所述第一清洗时间小于第二清洗时间。所述薄膜包括含硅薄膜。所述含硅薄膜包括非晶硅薄膜或微晶硅薄膜。
清洗时间是根据腔室薄膜沉积的厚度来决定,厚度不同时间不同,所述第一清洗时间与所述第二清洗时间之比大于等于1/12,小于等于1/3。滞留时间长,力和流量相对大一些,清洗时间相对短一些。滞留时间短,压力、流量小,清洗时间相对长。其中,第一压力为0.2-1mBar,第一清洗气体含氟气体流量为500-4000sccm,Ar流量为300-1000sccm,所述第二压力为0.1-0.4mBar,第二清洗气体的含氟气体流量为200-2000sccm,Ar流量为200-800sccm。
所述第一滞留时间通过调整清洗气体的第一压力和第一清洗气体流量来控制,如图2、3所示,让等离子体沿着气流方向401移动,等离子体集中在沉积腔中间401区域,401区域的等离子体密度大于301区域的等离子体密度,主要清洗沉积腔和托盘四周201区域薄膜,所述第二滞留时间通过调整清洗气体的第二压力和第二清洗气体流量来控制,如图2、3所示,让等离子体沿着气流方向301移动,等离子体集中在沉积腔的四周301区域,301区域的等离子体密度大于401区域的等离子体密度,主要清洗沉积腔和托盘四周101区域。
以上所述实施例仅表达了本申请的具体实施方式,其描述较为具体和详细,但并不能因此而理解为对本申请保护范围的限制。应当指出的是,对于本领域的普通技术人员来说,在不脱离本申请技术方案构思的前提下,还可以做出若干变形和改进,这些都属于本申请的保护范围。

Claims (10)

1.一种快速清洗大面积真空腔室内累积薄膜的方法,其特征在于,包括以下步骤:
步骤S1:第一步清洗,向沉积腔室通入第一清洗气体,开启电源,产生等离子体,调整第一压力和第一清洗气体流量将所述等离子体的滞留时间调整为第一滞留时间,清洗时间为第一清洗时间;
步骤S2:第二步清洗,向沉积腔室通入第二清洗气体,开启电源,产生等离子体,调整第二压力和第二清洗气体流量将所述等离子体的滞留时间调整为第二滞留时间,清洗时间为第二清洗时间;
其中第一滞留时间小于或者大于第二滞留时间。
2.根据权利要求1所述的一种快速清洗大面积真空腔室内累积薄膜的方法,其特征在于,所述第一滞留时间大于第二滞留时间,所述第一清洗时间与所述第二清洗时间之比大于等于1/12且小于等于1/3。
3.根据权利要求1所述的一种快速清洗大面积真空腔室内累积薄膜的方法,其特征在于,所述第一滞留时间小于第二滞留时间,所述第二清洗时间与所述第一清洗时间之比大于等于1/12且小于等于1/3。
4.根据权利要求1所述的一种快速清洗大面积真空腔室内累积薄膜的方法,其特征在于,所述第一清洗气体和第二清洗气体均由含氟气体和惰性气体组成,所述含氟气体和惰性气体的体积比为3:1-25:1。
5.根据权利要求4所述的一种快速清洗大面积真空腔室内累积薄膜的方法,其特征在于,第一压力为0.2-2mBar,第一清洗气体含氟气体流量为200-5000sccm,惰性气体流量为100-2000sccm;所述第二压力为0-0.5mBar,第二清洗气体的含氟气体流量为100-3000sccm,惰性气体流量为10-1000sccm。
6.根据权利要求4所述的一种快速清洗大面积真空腔室内累积薄膜的方法,其特征在于,所述第一压力为0-0.5mBar,第一清洗气体的含氟气体流量为100-3000sccm,惰性气体流量为10-1000sccm;第二压力为0.2-2mBar,第二清洗气体含氟气体流量为200-5000sccm,惰性气体流量为100-2000sccm。
7.根据权利要求4所述的一种快速清洗大面积真空腔室内累积薄膜的方法,其特征在于,所述含氟气体包括NF3、C2F6、CF4、CHF3、F2中的一种或任意体积比的组合,惰性气体为Ar。
8.根据权利要求1所述的一种快速清洗大面积真空腔室内累积薄膜的方法,其特征在于,所述沉积腔包括等离子体化学气相沉积腔、等离子体增强化学气相沉积腔或常压化学气相沉积腔。
9.根据权利要求1所述的一种快速清洗大面积真空腔室内累积薄膜的方法,其特征在于,被清洗的所述累积薄膜包括硅基薄膜和碳基薄膜。
10.根据权利要求9所述的一种快速清洗大面积真空腔室内累积薄膜的方法,其特征在于,所述硅基薄膜包括非晶硅薄膜和微晶硅薄膜。
CN202011370190.8A 2020-11-30 2020-11-30 一种快速清洗大面积真空腔室内累积薄膜的方法 Active CN112609168B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202011370190.8A CN112609168B (zh) 2020-11-30 2020-11-30 一种快速清洗大面积真空腔室内累积薄膜的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202011370190.8A CN112609168B (zh) 2020-11-30 2020-11-30 一种快速清洗大面积真空腔室内累积薄膜的方法

Publications (2)

Publication Number Publication Date
CN112609168A true CN112609168A (zh) 2021-04-06
CN112609168B CN112609168B (zh) 2023-06-06

Family

ID=75228002

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011370190.8A Active CN112609168B (zh) 2020-11-30 2020-11-30 一种快速清洗大面积真空腔室内累积薄膜的方法

Country Status (1)

Country Link
CN (1) CN112609168B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113351579A (zh) * 2021-06-07 2021-09-07 中南大学 一种通过等离子清洗处理铜锌锡硫硒薄膜表面的方法
CN114645264A (zh) * 2022-03-14 2022-06-21 上海德瀛睿创半导体科技有限公司 镀膜系统

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08241865A (ja) * 1995-03-03 1996-09-17 Aneruba Kk プラズマcvd装置及びその場クリーニング後処理方法
WO1998007186A1 (fr) * 1996-08-08 1998-02-19 Hitachi, Ltd. Procede et dispositif de fabrication d'un dispositif a semi-conducteur
JPH11214372A (ja) * 1997-12-05 1999-08-06 Samsung Electronics Co Ltd 高周波プラズマによるチャンバの内部洗浄方法
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
EP1065295A1 (en) * 1999-07-02 2001-01-03 Applied Materials, Inc. Plasma cleaning method for processing chambers
US20020162827A1 (en) * 2001-05-02 2002-11-07 Chia-Fu Yeh Method for dry cleaning metal etching chamber
US20040200499A1 (en) * 2003-04-11 2004-10-14 Applied Materials, Inc. Backflush chamber clean
JP2011199156A (ja) * 2010-03-23 2011-10-06 Shimadzu Corp 真空チャンバのプラズマクリーニング方法およびプラズマcvd成膜装置
TW201216396A (en) * 2010-06-22 2012-04-16 Nuflare Technology Inc Manufacturing apparatus and method for semiconductor device and cleaning method of manufacturing apparatus for semiconductor
CN102623298A (zh) * 2011-01-30 2012-08-01 中芯国际集成电路制造(上海)有限公司 反应腔室的清洗方法
CN102899635A (zh) * 2012-09-26 2013-01-30 中微半导体设备(上海)有限公司 一种原位清洁mocvd反应腔室的方法
CN103374710A (zh) * 2012-04-28 2013-10-30 理想能源设备(上海)有限公司 反应腔的清洗方法、反应腔的清洗系统
CN104741340A (zh) * 2013-12-31 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室的清洗方法
JP2015185664A (ja) * 2014-03-24 2015-10-22 株式会社日立ハイテクノロジーズ 処理ガス流量の決定方法
US20180044794A1 (en) * 2016-08-10 2018-02-15 Hitachi Kokusai Electric Inc. Cleaning method, method of manufacturing semiconductor device and substrate processing apparatus
CN110055514A (zh) * 2019-06-11 2019-07-26 厦门乾照光电股份有限公司 气相沉积设备及其控制方法、腔体清洁方法
CN111370282A (zh) * 2018-12-26 2020-07-03 江苏鲁汶仪器有限公司 一种等离子增强化学气相沉积腔室的清洗方法

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08241865A (ja) * 1995-03-03 1996-09-17 Aneruba Kk プラズマcvd装置及びその場クリーニング後処理方法
WO1998007186A1 (fr) * 1996-08-08 1998-02-19 Hitachi, Ltd. Procede et dispositif de fabrication d'un dispositif a semi-conducteur
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
JPH11214372A (ja) * 1997-12-05 1999-08-06 Samsung Electronics Co Ltd 高周波プラズマによるチャンバの内部洗浄方法
EP1065295A1 (en) * 1999-07-02 2001-01-03 Applied Materials, Inc. Plasma cleaning method for processing chambers
US20020162827A1 (en) * 2001-05-02 2002-11-07 Chia-Fu Yeh Method for dry cleaning metal etching chamber
US20040200499A1 (en) * 2003-04-11 2004-10-14 Applied Materials, Inc. Backflush chamber clean
JP2011199156A (ja) * 2010-03-23 2011-10-06 Shimadzu Corp 真空チャンバのプラズマクリーニング方法およびプラズマcvd成膜装置
TW201216396A (en) * 2010-06-22 2012-04-16 Nuflare Technology Inc Manufacturing apparatus and method for semiconductor device and cleaning method of manufacturing apparatus for semiconductor
CN102623298A (zh) * 2011-01-30 2012-08-01 中芯国际集成电路制造(上海)有限公司 反应腔室的清洗方法
CN103374710A (zh) * 2012-04-28 2013-10-30 理想能源设备(上海)有限公司 反应腔的清洗方法、反应腔的清洗系统
CN102899635A (zh) * 2012-09-26 2013-01-30 中微半导体设备(上海)有限公司 一种原位清洁mocvd反应腔室的方法
CN104741340A (zh) * 2013-12-31 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室的清洗方法
JP2015185664A (ja) * 2014-03-24 2015-10-22 株式会社日立ハイテクノロジーズ 処理ガス流量の決定方法
US20180044794A1 (en) * 2016-08-10 2018-02-15 Hitachi Kokusai Electric Inc. Cleaning method, method of manufacturing semiconductor device and substrate processing apparatus
CN111370282A (zh) * 2018-12-26 2020-07-03 江苏鲁汶仪器有限公司 一种等离子增强化学气相沉积腔室的清洗方法
CN110055514A (zh) * 2019-06-11 2019-07-26 厦门乾照光电股份有限公司 气相沉积设备及其控制方法、腔体清洁方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113351579A (zh) * 2021-06-07 2021-09-07 中南大学 一种通过等离子清洗处理铜锌锡硫硒薄膜表面的方法
CN114645264A (zh) * 2022-03-14 2022-06-21 上海德瀛睿创半导体科技有限公司 镀膜系统

Also Published As

Publication number Publication date
CN112609168B (zh) 2023-06-06

Similar Documents

Publication Publication Date Title
JP2519383B2 (ja) 窒化物上の酸化物層のエッチング方法
TWI753906B (zh) 蝕刻方法
US6863077B2 (en) Method and apparatus for enhanced chamber cleaning
KR100536534B1 (ko) 박막 장치 제작방법
US8337960B2 (en) Seasoning method for film-forming apparatus
US8394231B2 (en) Plasma process device and plasma process method
US20090090382A1 (en) Method of self-cleaning of carbon-based film
CN112609168B (zh) 一种快速清洗大面积真空腔室内累积薄膜的方法
JP4714166B2 (ja) 基板のプラズマ処理装置及びプラズマ処理方法
CN101736326A (zh) 电容耦合型等离子体处理反应器
JP2008060429A (ja) 基板のプラズマ処理装置及びプラズマ処理方法
EP0732732A2 (en) Method of removing native silicon oxide by sputtering
US9679751B2 (en) Chamber filler kit for plasma etch chamber useful for fast gas switching
JP3224011B2 (ja) プラズマ励起化学蒸着装置及びプラズマエッチング装置
CN113481595B (zh) 一种M形同轴天线915MHz微波等离子体化学气相沉积装置
KR20190088079A (ko) 챔버 드리프팅 없이 고온 프로세싱을 가능하게 하는 방법
CN204834654U (zh) 基板载体
US8704445B2 (en) Method for improving uniformity of high-frequency plasma discharge by means of frequency modulation
US20130239988A1 (en) Deposition chamber cleaning using in situ activation of molecular fluorine
CN100374616C (zh) 具有防着管的等离子体处理装置
EP0848434A3 (en) Method of forming semiconductor thin film
CN112853326B (zh) 一种膜层沉积方法
KR100562196B1 (ko) 박막형성장치 및 방법
US20020056415A1 (en) Apparatus and method for production of solar cells
JP3420960B2 (ja) 電子デバイス製造装置および電子デバイス製造方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20240105

Address after: 610200 within phase 6 of Industrial Development Zone of Southwest Airport Economic Development Zone, Shuangliu District, Chengdu City, Sichuan Province

Patentee after: TONGWEI SOLAR (CHENGDU) Co.,Ltd.

Address before: 610000 in Southwest Airport Economic Development Zone, Chengdu, Sichuan Province

Patentee before: Zhongwei New Energy (Chengdu) Co.,Ltd.

TR01 Transfer of patent right