CN112400223A - 腔室衬垫 - Google Patents

腔室衬垫 Download PDF

Info

Publication number
CN112400223A
CN112400223A CN201980045937.1A CN201980045937A CN112400223A CN 112400223 A CN112400223 A CN 112400223A CN 201980045937 A CN201980045937 A CN 201980045937A CN 112400223 A CN112400223 A CN 112400223A
Authority
CN
China
Prior art keywords
sidewall
chamber body
insulating members
support surface
disposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980045937.1A
Other languages
English (en)
Inventor
李建恒
赵来
R·L·迪纳
艾伦·K·刘
古田学
崔寿永
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN112400223A publication Critical patent/CN112400223A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文描述的实施方式一般是涉及利用高射频(RF)功率来处理基板的设备和方法。高射频功率能够以更理想的性质将膜沉积在基板上。多个第一绝缘构件设置在多个拖架上,并且从腔室主体侧向朝内延伸。多个第二绝缘构件设置在腔室主体上,并且从多个第一绝缘构件延伸到腔室主体的支撑表面。绝缘构件减少了等离子体与腔室主体之间的电弧的发生。

Description

腔室衬垫
技术领域
本文公开的实施方式一般涉及半导体制造设备的领域,且特别是涉及一种用于在制造工艺中减少等离子体的电弧的设备。
背景技术
用于等离子体增强化学气相沉积(Plasma Enhanced Chemical VaporDeposition,PECVD)处理的传统处理腔室缺少利用高射频(RF)功率的合适设备。例如,传统处理腔室将最大射频功率限制为约4kW。使用大于约5kW的射频功率会导致等离子体中发生闪烁(flickering)或电弧(arcing)。然而,较高的射频功率(例如,大于约5kW)能够沉积具有期望特性的膜。
因此,在本领域中需要用于处理腔室的减少电弧的改进设备。
发明内容
在一个实施方式中,提供一种设备。设备包括限定处理空间的腔室主体。支撑表面形成在腔室主体中。盖子耦接至腔室主体,且喷头设置在处理空间内。多个拖架耦接至腔室主体,并从腔室主体侧向朝内延伸。支撑基座相对于喷头设置于处理空间内。多个第一绝缘构件设置于多个拖架上。多个第一绝缘构件中的每个绝缘构件从腔室主体侧向朝内延伸。多个第二绝缘构件设置于腔室主体上。多个第二绝缘构件中的每个绝缘构件从多个第一绝缘构件延伸至所述腔室主体的所述支撑表面。
在另一实施方式中,提供一种设备。设备包括在其中限定处理空间的腔室主体。腔室主体具有底部及相对于底部的支撑表面。第一侧壁由底部延伸至支撑表面。第二侧壁由底部延伸至支撑表面,且第二侧壁相对于第一侧壁。第三侧壁由底部延伸至支撑表面,且第三侧壁位于第一侧壁与第二侧壁之间。第四侧壁由底部延伸至支撑表面,位于第一侧壁与第二侧壁之间。第四侧壁相对于第三侧壁。支撑基座设置于处理空间内。喷头设置在处理空间内且相对于支撑基座。第一拖架耦接至第一侧壁且位于底部与支撑表面之间。第二拖架耦接至第二侧壁,且第二拖架是与第一拖架实质上共平面。第三拖架耦接至第三侧壁,且第三拖架是与第一拖架及第二拖架实质上共平面。第四拖架耦接至第四侧壁,且第四拖架是与第一拖架、第二拖架及第三拖架实质上共平面。多个第一绝缘构件的绝缘构件设置于各个第一拖架、第二拖架、第三拖架及第四拖架上。多个第二绝缘构件从第一拖架、第二拖架、第三拖架及第四拖架的一个延伸至腔室主体的支撑表面。
在又一实施方式中,提供一种设备。设备包括限定其中处理空间的腔室主体。腔室主体具有支撑表面、第一侧壁、相对于第一侧壁的第二侧壁、位于第一侧壁与第二侧壁之间的第三侧壁、以及位于第一侧壁与第二侧壁之间的第四侧壁。第四侧壁相对于第三侧壁。每个侧壁是与相邻的侧壁形成实质上垂直的角。多个拖架耦接至腔室主体且由腔室主体侧向朝内延伸。多个第一绝缘构件设置于多个拖架上。多个第二绝缘构件耦接至腔室主体。多个第二绝缘构件中的每个绝缘构件从多个第一绝缘构件延伸至支撑表面。多个第三绝缘构件于腔室主体的每个角耦接至腔室主体。
附图说明
因此,为了可以详细地理解本公开内容的上述特征的方式,可以通过参考实施方式来对本公开内容进行更详细的理解,上文对本公开内容进行了简要概述,其中一些实施方式绘示于附图中。然而,应注意的是,附图仅示出示例性实施方式,因此不应被认为是对其范围的限制,可以允许其他同等有效的实施方式。
图1绘示根据本公开内容的一个实施方式的处理腔室的示意性侧视截面图。
图2绘示根据本公开内容的一个实施方式的处理腔室的截面平面图。
图3绘示根据本公开内容的一个实施方式的处理腔室的透视图。
为了便于理解,在可能的地方使用了相同的附图标记来表示附图共有的相同元件。可以理解的是,一个实施方式的元件和特征可以被有益地并入其他实施方式中,而无需进一步叙述。
具体实施方式
本文描述的实施方式大致上涉及利用高射频(RF)功率处理基板的设备和方法。高射频功率使得能够以更理想的性质将膜沉积在基板上。多个第一绝缘构件设置在多个托架上,并且从腔室主体侧向向内延伸。多个第二绝缘构件设置在腔室主体上,并且从多个第一绝缘构件延伸到腔室主体的支撑表面。绝缘构件减少了等离子体与腔室主体之间的电弧的发生。
本文所述的工艺和设备可用于沉积栅极绝缘体氧化硅(GISiO)膜。可以使用硅烷(SiH4)和一氧化二氮(N2O)或使用四乙氧基硅烷(tetraethyl orthosilicate,TEOS)(Si(OC2H5)4)和氧气(O2)沉积栅极绝缘体氧化硅膜。可以理解的是,利用其他材料的其他工艺也可以从本文描述的实施方式中受益。
图1绘示根据本公开内容的一个实施方式的处理腔室100的示意性侧视截面图。处理腔室100包括腔室主体102和耦接至腔室主体102的盖子112。腔室主体102具有底部138以及实质上垂直于底部138且在底部138与盖子112之间延伸的第一侧壁170。第二侧壁172与第一侧壁170相对设置。第二侧壁172实质上平行于第一侧壁170,并且在底部138和盖子112之间延伸。底部138实质上垂直于第一侧壁170和第二侧壁并且在第一侧壁170和第二侧壁172之间延伸。第三和第四侧壁(参照图2详细描述)彼此平行并在第一侧壁170和第二侧壁172之间延伸。狭缝阀开口144形成为穿过腔室主体102的第二侧壁172。
腔室主体102和盖子112在其中限定处理空间148。气体源104经由导管108与处理空间148流体连通。导管108由导电材料(例如是铝或其合金)制成。远程等离子体源106耦接至气体源104和处理空间148之间的导管108。射频(RF)功率源110耦接至远程等离子体源106以提供射频功率,激活来自气体源104的处理气体。射频功率源110电性耦接至盖子112,提供从腔室主体102到射频功率源110的射频电流返回路径(RF current return path)。射频功率源110产生约3kW与约20kW之间的射频功率(例如在约12kW与约19kW之间,例如约18kW)。在处理基板的工艺之间,可以将清洁气体提供给远程等离子体源106,以便生成并提供远程等离子体以清洁处理腔室100的部件。
支撑表面152形成在腔室主体102中。支撑表面152形成在腔室主体的每个侧壁中,包括第一侧壁170和第二侧壁172(以及第三和第四侧壁)。支撑表面152与底部138相对并且实质上垂直于第一侧壁170和第二侧壁172。基板支撑件136设置在处理空间148内。基板支撑件136在处理期间支撑基板124。在一个实施方式中,基板支撑件136由陶瓷材料制成。在另一实施方式中,基板支撑件136由涂布有含硅材料(例如碳化硅(silicon carbide)材料)的石墨材料制成。
基板支撑件136可经由致动器140在处理空间148内移动。例如,在处理期间,基板支撑件136处于升高的位置,使得基板124位于狭缝阀开口144上方。在完成所述处理时,致动器140将基板支撑件136移动到较低的位置,使得基板支撑件136在狭缝阀开口144下方。基板支撑件136的较低的位置能够将基板124传送到基板支撑件136或从基板支撑件136转移。
一个或多个升降杆130、132设置为穿过基板支撑件136。一个或多个升降杆130、132具有不同的长度,以在基板124于升降杆130、132上升高时减少基板124的弯曲(bowing)。例如,一个或多个内部升降杆132比一个或多个外部升降杆130短,一个或多个外部升降杆130是由内部升降杆132侧向朝外设置在基板支撑件136中。因此,当基板124于升降杆130、132上升高时,基板124位于内部升降杆132上的内部部分相对于基板124位于外部升降杆130上的外部部分更为下陷。
喷头116设置在与基板支撑件136相对的处理空间148内。喷头116经由一个或多个支撑件120与背板114耦接。一个或多个隔离件122(例如绝缘体和O形环密封件)将背板114与腔室主体电性隔离。一个或多个隔离件122设置在腔室主体102的支撑表面152上并支撑背板114。在一个实施方式中,一个或多个隔离件122由介电材料制成。空间118由背板114、与背板114相对的喷头116及一个或多个支撑件120所限定。多个通道156是穿过喷头116所形成。空间118是经由多个通道156与处理空间148流体连通。
一个或多个托架142设置于第一侧壁170和第二侧壁172上并耦接于第一侧壁170和第二侧壁172。一个或多个托架142从第一侧壁170和第二侧壁172侧向朝内延伸。多个托架142设置于第一侧壁170和第二侧壁172上,并从第一侧壁170和第二侧壁172延伸,位于狭缝阀开口144和腔室主体102的支撑表面152之间。在一个实施方式中,一个或多个托架142由导电材料制成(例如作为铝材料或其合金)。因此,一个或多个托架142电性连接到腔室主体102的侧壁,包括第一侧壁170和第二侧壁172,使得射频电流可以从一个或多个托架142连续地流到侧壁170、172。
一个或多个第一绝缘构件160设置在一个或多个托架142上。第一绝缘构件160从第一侧壁170和第二侧壁172侧向延伸。一个或多个第二绝缘构件162设置在第一侧壁170和第二侧壁172上。第二绝缘构件162从第一绝缘构件160延伸至腔室主体102的支撑表面152。在一个实施方式中,第二绝缘构件162由一个或多个陶瓷紧固件146耦接至腔室主体102的侧壁170、172。在一个实施方式中,第一绝缘构件160和第二绝缘构件162由陶瓷材料(例如是氧化铝(Al2O3))制成。在另一实施方式中,第一绝缘构件160和第二绝缘构件162由聚合物材料制成。
虽然在图1中绘示为分离的绝缘构件,但在一个实施方式中,第一绝缘构件160和第二绝缘构件162可以是设置在一个或多个托架142及侧壁170、172上的连续绝缘构件。在处理期间,期望利用高射频功率来改善沉积在基板124上的膜的特性。例如,使用约3.5kW至约20kW之间的射频功率(例如约18kW),由于较高的离子能量和离子轰击,可以产生具有理想的膜特性的膜(例如改善的密度、增加的击穿电压(breakdown voltage)、减小的漏电流和较低的湿蚀刻速率)。对于使用约5kW的射频功率沉积的膜而言,使用约18kW的射频功率导致击穿电压从约7.8MV/cm增加到约8.5MV/cm。
虽然较高的射频功率(例如,大于约4.1kW)改善了膜的特性,但它也增加了处理空间中电弧的发生,从而损坏了沉积的膜和基板124。设置于一个或多个托架142上的第一绝缘构件160实质上减少了处理空间148及一个或多个托架142中的等离子体之间的电弧。类似地,第二绝缘构件162实质上减少了处理空间148及腔室主体102的侧壁(包括第一侧壁170和第二侧壁172)中的等离子体之间的电弧。一个或多个托架142和第一绝缘构件160还有助于将等离子体限制在喷头116和基板支撑件136之间的处理空间148中。
为了进一步减少电弧的发生,随着射频功率的增加,处理空间148中的压力也增加了。随着射频功率的增加,在处理空间148中电弧的发生也增加。为了减少电弧的发生,增加了处理空间148中的压力。例如,处理空间148中的压力可以从大约650mTorr至大约1000mTorr之间增加到大约1000mTorr至大约2000mTorr之间,例如大约1100mTorr至大约1600mTorr之间,例如1500mTorr。
阴影框架128可移动地设置在处理空间148中。当基板支撑件136处于较低位置时,阴影框架128搁置在第一绝缘构件160上并由一个或多个托架142支撑。当基板支撑件136升高到较高位置时,阴影框架128与基板124的外边缘和基板支撑件136的外边缘接合(engage),且阴影框架128搁置在基板124的外边缘和基板支撑件136的外边缘上。当基板支撑件136处于较高位置时,阴影框架128与第一绝缘构件160间隔开。阴影框架128被配置为将源材料的沉积限制于基板124的期望部分。
图2绘示根据本公开内容的一个实施方式的处理腔室100的截面平面图。腔室主体102包括第一侧壁170、第二侧壁172、第三侧壁202和第四侧壁204。第三侧壁202实质上垂直于第一侧壁170和第二侧壁172并且在第一侧壁170和第二侧壁172之间延伸。第四侧壁204与第三侧壁202相对设置,并且实质上垂直于第一侧壁170和第二侧壁172并且在第一侧壁170和第二侧壁172之间延伸。侧壁170、172、202和204中的每一个是与相邻的侧壁170、172、202和204形成实质上为直角的角208。
一个或多个第一绝缘构件160耦接至侧壁170、172、202和204中的每个,并从170、172、202和204中的每个侧向朝内延伸。每个第一绝缘构件160由拖架支撑,所述拖架耦接到每个个别的侧壁170、172、202和204,并且从每个个别的侧壁170、172、202和204延伸,例如图1中所示的一个或多个拖架142。
第二绝缘构件162设置于腔室主体102的每个侧壁170、172、202和204上。一个或多个第三绝缘构件206设置在由侧壁170、172、202和204形成的每个角中。第三绝缘构件206设置在侧壁170、172、202和204上,并从腔室主体102的支撑表面152延伸到底部138。
在一个实施方式中,第二绝缘构件162的厚度大于第三绝缘构件206的厚度。在一个实施方式中,第三绝缘构件206由陶瓷材料(例如氧化铝(Al2O3))制成。在处理期间,第三绝缘构件206有助于减少图1所示的处理空间148以及侧壁170、172、202和204形成的角中的等离子体之间的电弧。
图3绘示根据本公开内容的一个实施方式的处理腔室100的透视图。图3更清楚地示出了设置在由侧壁170、172、202和204形成的角208中的一个或多个第三绝缘构件206。托架142、第一绝缘构件160、第二绝缘构件162设置在侧壁170、172、202和204上,位于狭缝阀开口144上方。第三绝缘构件206在支撑表面152和腔室主体102的底部138之间延伸。为了清楚起见,第一绝缘构件160下方的一个或多个拖架(例如图1所示的一个或多个托架142)于图3中省略。
总之,本公开内的实施方式为等离子体处理设备提供了改进的电弧保护和预防。本文所述的绝缘构件使得能够在处理期间使用增加的射频功率(例如,约15kW)。进而,较高的射频功率能够使得沉积出的膜展现改善的膜特性,例如表现出更高的击穿电压的膜。增加的射频电压进一步改善了沉积在基板上的膜的性质,例如改善的密度、减小的漏电流和较低的湿蚀刻速率。绝缘构件还有助于将等离子体限制在喷头和支撑基座之间的处理空间内。处理空间中的压力也增加,以结合于绝缘构件来减少电弧的发生。
尽管前述内容涉及本公开内容的实施方式,但是在不脱离本公开内容的基本范围的情况下,可以构思本公开内容的其他和进一步的实施方式,并且本公开内容的范围由所附权利要求书确定。

Claims (15)

1.一种设备,包括:
腔室主体,限定处理空间,所述腔室主体具有形成在其中的支撑表面;
盖子,耦接至所述腔室主体;
喷头,设置在所述处理空间内;
多个拖架,耦接至所述腔室主体,并从所述腔室主体侧向朝内延伸;
支撑基座,相对于所述喷头设置于所述处理空间内;
多个第一绝缘构件,设置于所述多个拖架上,并从所述腔室主体侧向朝内延伸;和
多个第二绝缘构件,设置于所述腔室主体上,所述多个第二绝缘构件中的每个绝缘构件从所述多个第一绝缘构件延伸至所述腔室主体的所述支撑表面。
2.如权利要求1所述的设备,其中所述多个拖架中的每个拖架包括铝材料,并且其中所述多个第一绝缘构件中的每个绝缘构件以及所述多个第二绝缘构件中的每个绝缘构件包括陶瓷材料。
3.如权利要求1所述的设备,其中所述多个拖架中的每个拖架包括铝材料,并且其中所述多个第一绝缘构件中的每个绝缘构件以及所述多个第二绝缘构件中的每个绝缘构件包括聚合物材料。
4.如权利要求1所述的设备,其中所述多个第二绝缘构件是经由一个或多个陶瓷紧固件耦接至所述腔室主体。
5.如权利要求1所述的设备,进一步包括:
狭缝阀开口,穿过所述腔室主体形成,其中所述多个拖架设置于所述腔室主体上,位于所述狭缝阀开口与所述支撑表面之间。
6.如权利要求1所述的设备,其中所述多个拖架是放置于所述腔室主体上,以在所述处理空间中调整射频返回路径。
7.一种设备,包括:
腔室主体,限定处理空间,所述腔室主体具有底部及相对于所述底部的支撑表面;
第一侧壁,由所述底部延伸至所述支撑表面;
第二侧壁,由所述底部延伸至所述支撑表面,且所述第二侧壁相对于所述第一侧壁;
第三侧壁,由所述底部延伸至所述支撑表面,且所述第三侧壁位于所述第一侧壁与所述第二侧壁之间;
第四侧壁,由所述底部延伸至所述支撑表面,所述第四侧壁位于所述第一侧壁与所述第二侧壁之间,且相对于所述第三侧壁;
支撑基座,设置于所述处理空间内;
喷头,设置在所述处理空间内且相对于所述支撑基座;
第一拖架,耦接至所述第一侧壁且位于所述底部与所述支撑表面之间;
第二拖架,耦接至所述第二侧壁,且所述第二拖架是与所述第一拖架实质上共平面;
第三拖架,耦接至所述第三侧壁,且所述第三拖架是与所述第一拖架及所述第二拖架实质上共平面;
第四拖架,耦接至所述第四侧壁,且所述第四拖架是与所述第一拖架、所述第二拖架及所述第三拖架实质上共平面;
多个第一绝缘构件,所述多个第一绝缘构件的一个设置于各个所述第一拖架、所述第二拖架、所述第三拖架及所述第四拖架上;和
多个第二绝缘构件,所述多个第二绝缘构件中的每个绝缘构件从所述第一拖架、所述第二拖架、所述第三拖架及所述第四拖架的一个延伸至所述腔室主体的所述支撑表面。
8.如权利要求7所述的设备,其中所述第一拖架、所述第二拖架、所述第三拖架及所述第四拖架中的每一个包括铝材料,并且其中所述多个第一绝缘构件中的每个绝缘构件以及所述多个第二绝缘构件中的每个绝缘构件包括陶瓷材料。
9.如权利要求7所述的设备,其中所述第一拖架、所述第二拖架、所述第三拖架及所述第四拖架中的每一个包括铝材料,并且其中所述多个第一绝缘构件中的每个绝缘构件以及所述多个第二绝缘构件中的每个绝缘构件包括聚合物材料。
10.如权利要求7所述的设备,进一步包括:
狭缝阀开口,形成于所述腔室主体中,其中所述多个第一绝缘构件耦接至所述腔室主体,位于所述狭缝阀开口与所述支撑表面之间。
11.如权利要求7所述的设备,其中所述第一拖架、所述第二拖架、所述第三拖架及所述第四拖架放置于所述腔室主体上,以在所述处理空间中调整射频返回路径。
12.一种设备,包括:
腔室主体,限定其中的处理空间,所述腔室主体具有支撑表面、第一侧壁、相对于所述第一侧壁的第二侧壁、位于所述第一侧壁与所述第二侧壁之间的第三侧壁、以及位于所述第一侧壁与所述第二侧壁之间且相对于所述第三侧壁的第四侧壁,所述第一侧壁、所述第二侧壁、所述第三侧壁及所述第四侧壁中的每一个是与相邻的侧壁形成实质上为直角的角;
多个拖架,耦接至所述腔室主体且由所述腔室主体侧向朝内延伸;
多个第一绝缘构件,设置于所述多个拖架上;
多个第二绝缘构件,耦接至所述腔室主体,所述多个第二绝缘构件中的每个第二绝缘构件从所述多个第一绝缘构件延伸至所述支撑表面;和
多个第三绝缘构件,于所述腔室主体的每个角耦接至所述腔室主体。
13.如权利要求12所述的设备,其中所述多个拖架中的每个拖架包括铝材料,并且其中所述多个第一绝缘构件、所述多个第二绝缘构件、以及所述多个第三绝缘构件中的每个绝缘构件包括陶瓷材料。
14.如权利要求12所述的设备,其中所述多个拖架中的每个拖架包括铝材料,并且其中所述多个第一绝缘构件、所述多个第二绝缘构件、以及所述多个第三绝缘构件中的每个绝缘构件包括聚合物材料。
15.如权利要求12所述的设备,其中所述多个拖架放置于所述腔室主体上,以在所述处理空间中调整射频返回路径。
CN201980045937.1A 2018-08-01 2019-07-17 腔室衬垫 Pending CN112400223A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/052,304 2018-08-01
US16/052,304 US10923327B2 (en) 2018-08-01 2018-08-01 Chamber liner
PCT/US2019/042298 WO2020028048A1 (en) 2018-08-01 2019-07-17 Chamber liner

Publications (1)

Publication Number Publication Date
CN112400223A true CN112400223A (zh) 2021-02-23

Family

ID=69228880

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980045937.1A Pending CN112400223A (zh) 2018-08-01 2019-07-17 腔室衬垫

Country Status (6)

Country Link
US (1) US10923327B2 (zh)
JP (1) JP7034372B2 (zh)
KR (1) KR102475319B1 (zh)
CN (1) CN112400223A (zh)
TW (1) TWI762797B (zh)
WO (1) WO2020028048A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113930747A (zh) * 2021-10-19 2022-01-14 浙江泰嘉光电科技有限公司 一种实施气相沉积法工艺的高速清洁的cvd腔室结构

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050183827A1 (en) * 2004-02-24 2005-08-25 Applied Materials, Inc. Showerhead mounting to accommodate thermal expansion
US20100089319A1 (en) * 2008-10-09 2010-04-15 Applied Materials, Inc. Rf return path for large plasma processing chamber
CN102822383A (zh) * 2010-02-15 2012-12-12 应用材料公司 抗弧零电场板

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5885356A (en) 1994-11-30 1999-03-23 Applied Materials, Inc. Method of reducing residue accumulation in CVD chamber using ceramic lining
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
KR100460143B1 (ko) * 2002-08-02 2004-12-03 삼성전자주식회사 반도체 제조설비용 프로세스 챔버
JP4141234B2 (ja) 2002-11-13 2008-08-27 キヤノンアネルバ株式会社 プラズマ処理装置
TWI312012B (en) * 2005-07-13 2009-07-11 Applied Materials Inc Improved magnetron sputtering system for large-area substrates having removable anodes
US20080118663A1 (en) 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
JP4838736B2 (ja) * 2007-01-25 2011-12-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN101647090B (zh) 2007-03-01 2012-08-29 应用材料公司 射频遮板及沉积方法
KR100906392B1 (ko) 2007-12-13 2009-07-07 (주)트리플코어스코리아 반도체 챔버 라이너
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US9653267B2 (en) * 2011-10-06 2017-05-16 Applied Materials, Inc. Temperature controlled chamber liner
US9187827B2 (en) 2012-03-05 2015-11-17 Applied Materials, Inc. Substrate support with ceramic insulation
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
US10763082B2 (en) 2016-03-04 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber of plasma system, liner for plasma system and method for installing liner to plasma system
WO2017165016A1 (en) 2016-03-25 2017-09-28 Applied Materials, Inc. Chamber liner for high temperature processing

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050183827A1 (en) * 2004-02-24 2005-08-25 Applied Materials, Inc. Showerhead mounting to accommodate thermal expansion
US20100089319A1 (en) * 2008-10-09 2010-04-15 Applied Materials, Inc. Rf return path for large plasma processing chamber
CN102822383A (zh) * 2010-02-15 2012-12-12 应用材料公司 抗弧零电场板

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113930747A (zh) * 2021-10-19 2022-01-14 浙江泰嘉光电科技有限公司 一种实施气相沉积法工艺的高速清洁的cvd腔室结构

Also Published As

Publication number Publication date
US10923327B2 (en) 2021-02-16
KR102475319B1 (ko) 2022-12-06
KR20210027506A (ko) 2021-03-10
TWI762797B (zh) 2022-05-01
JP7034372B2 (ja) 2022-03-11
JP2021533530A (ja) 2021-12-02
WO2020028048A1 (en) 2020-02-06
US20200043706A1 (en) 2020-02-06
TW202025861A (zh) 2020-07-01

Similar Documents

Publication Publication Date Title
US11728139B2 (en) Process chamber for cyclic and selective material removal and etching
CN110998783B (zh) 具有双嵌入式电极的基板支撑件
EP0179665B1 (en) Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
KR100841118B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US20090197015A1 (en) Method and apparatus for controlling plasma uniformity
US20120009356A1 (en) Contamination reducing liner for inductively coupled chamber
KR20080044169A (ko) 반경 방향 플라즈마 분포에 대한 개선된 자기 제어를 위한플라즈마 제한 배플 및 유동비 이퀄라이저
US20230298922A1 (en) Electrostatic chuck design with improved chucking and arcing performance
CN112400223A (zh) 腔室衬垫
CN116235278A (zh) 在处理腔室中使用双频率rf功率的方法
US8034213B2 (en) Plasma processing apparatus and plasma processing method
US11705312B2 (en) Vertically adjustable plasma source
KR20200101993A (ko) 기판 지지부를 위한 프로세스 키트
TW202204677A (zh) 用於高頻處理的蓋堆疊
TWI797766B (zh) 低電流高離子能量電漿控制系統
US20220349050A1 (en) Method and apparatus with high conductance components for chamber cleaning
US20220020599A1 (en) Integration processes utilizing boron-doped silicon materials
CN114930507A (zh) 腔室沉积和蚀刻工艺
TW202225451A (zh) 以介電陳化膜陳化靜電夾盤的系統與方法
US20180350571A1 (en) Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor
CN112041480A (zh) 解决在高温非晶碳沉积的厚膜沉积期间的自发电弧

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination