CN112313771B - 等离子体源及其操作方法 - Google Patents

等离子体源及其操作方法 Download PDF

Info

Publication number
CN112313771B
CN112313771B CN201980041072.1A CN201980041072A CN112313771B CN 112313771 B CN112313771 B CN 112313771B CN 201980041072 A CN201980041072 A CN 201980041072A CN 112313771 B CN112313771 B CN 112313771B
Authority
CN
China
Prior art keywords
plasma
plasma source
substrate
opening
tile
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980041072.1A
Other languages
English (en)
Other versions
CN112313771A (zh
Inventor
伊夫·洛德威克·马里亚·克雷格托恩
安德里斯·里杰费尔斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nederlandse Organisatie voor Toegepast Natuurwetenschappelijk Onderzoek TNO
Original Assignee
Nederlandse Organisatie voor Toegepast Natuurwetenschappelijk Onderzoek TNO
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nederlandse Organisatie voor Toegepast Natuurwetenschappelijk Onderzoek TNO filed Critical Nederlandse Organisatie voor Toegepast Natuurwetenschappelijk Onderzoek TNO
Publication of CN112313771A publication Critical patent/CN112313771A/zh
Application granted granted Critical
Publication of CN112313771B publication Critical patent/CN112313771B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32348Dielectric barrier discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • C23C16/545Apparatus specially adapted for continuous coating for coating elongated substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32366Localised processing
    • H01J37/32385Treating the edge of the workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32825Working under atmospheric pressure or higher
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/2406Generating plasma using dielectric barrier discharges, i.e. with a dielectric interposed between the electrodes
    • H05H1/2418Generating plasma using dielectric barrier discharges, i.e. with a dielectric interposed between the electrodes the electrodes being embedded in the dielectric
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/2406Generating plasma using dielectric barrier discharges, i.e. with a dielectric interposed between the electrodes
    • H05H1/2439Surface discharges, e.g. air flow control

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一种等离子体源(100),包括:具有开口(14)的外表面(10),用于从该开口递送等离子体。传送机构被配置为平行于外表面相对于彼此传送衬底(11)和等离子体源,其中,待处理的衬底表面与包含开口的外表面的至少一部分平行。第一瓦片(4‑1)和第二外片(4‑2)布置在工作电极(22)的第一平面内,其中,邻近边缘(12)与第一等离子体收集空间(6‑1)接界,并且第三瓦片(4‑3)布置在工作电极的平行于第一平面的第二平面内,使得第三瓦片与第一平面中的邻近边缘重叠。工作电极和对电极中的至少一个包括所述邻近边缘附近的局部修改(13、15)以增加到开口的等离子体递送,从而补偿由于邻近边缘引起的等离子体收集的损失。

Description

等离子体源及其操作方法
技术领域
本发明涉及一种等离子体源装置,尤其是包括与开口连通的收集空间的类型的离子体源装置,等离子体可以从该开口递送到待处理的衬底的表面。从WO2015199539中已知这种装置。在该装置中,第一等离子体收集空间至少部分地形成在对电极的第一面与工作电极的第一面之间,并且第二等离子体收集空间至少部分地形成在对电极的第二面和与工作电极的第一面相对的工作电极的第二面之间。
背景技术
表面的等离子体处理具有许多有用的应用,包括表面的放电、表面能的修改、改善材料(如涂料、胶水和其他涂层)的润湿性或粘附性、表面上细菌细胞的清洁和/或灭活以及包括作为用于例如半导体行业中的表面处理的较大组件的一部分,诸如化学气相沉积、等离子体蚀刻、原子层沉积和原子层蚀刻装置。如WO2015199539中所公开的等离子体收集空间形成在中心平面瓦片状高压电极之间,该中心平面瓦片状高压电极被介电阻挡层封装并且被放置在一对接地的外部电极面之间的距离处。使用来自进口的合适的气体流动,在这些空间中生成的等离子体可以被输送到开口,等离子体从该开口被递送到待处理的衬底的表面。WO2015199539在高压电极上使用陶瓷介电阻挡层来获得对等离子体均匀性必不可少的受控的低电流密度。该陶瓷由高密度多晶陶瓷材料形成。
通过仔细控制重要参数(诸如介电层的厚度、组成和粗糙度、外部电极的形状、开口的宽度以及等离子体递送的角度),可以使到衬底的递送有效且均匀。重要的是要注意,在所报告的布置中,在两个空间中生成的等离子体有助于从开口递送的等离子体的总通量。
WO2015199539中所公开的等离子体源已经在表面处理工具中实现,并且用于例如铟(镓)氧化锌半导体和低温薄膜封装层的沉积工艺中;这两种工艺都可能潜在地在例如有机发光二极管(OLED)显示器制造中得到应用。WO2008038901公开了一种等离子体发生器,该等离子体发生器用于通过在常压下生成等离子体并且将所生成的等离子体引导出等离子体生成空间以使等离子体与衬底的表面接触来处理衬底。
下一代制造工艺的一个重要目标是处理越来越大的表面,例如宽度高达0.5米至3米的表面。为此目的,一些等离子体处理工具旨在能够在这种表面的整个宽度上均匀等离子体沉积的等离子体源。这提出了挑战,因为考虑到例如陶瓷元件(厚度、平坦度和粗糙度)的严格尺寸公差(例如10微米或20微米内)并且考虑到等离子体源应适用的从20℃至350℃的宽温度范围,将当前等离子体源放大到例如3米(m)宽度是困难的。
为了促进通过等离子体物质处理较大衬底,已经提出了包括以线性阵列布置的多个元件的装置(参见图1a)。然而,在使用元件的线性阵列的情况下,没有等离子体被递送到通过这种各个元件之间的边界下方的衬底区域。如WO02094455A1中所公开的,可以构造多行线性阵列,其中,每个连续行被移位以形成重叠的处理元件。或者可选地,如US20160289836A1中所描述的,公开了一种布置,在该布置中,阵列中的各个元件可以以叠瓦状(imbricated)的方式在行的方向上布置,即类似屋顶上的板瓦。然而,由于在传送方向上仍然存在受各个元件之间的界面影响的区域和/或覆盖衬底的大部分,因此两个公开在其目的上未能更大或更小程度地提供等离子体递送到衬底的均匀性。这是麻烦的,因为衬底在升高的温度下对长时间加热敏感,并且还存在旨在使等离子体递送系统的范围最小化的成本限制。因此,图1b至图1d的定向可以提供更均匀的递送,但是也可能遭受长时间暴露于升高的温度下。随着元件数量的增加,这产生了需要解决的问题。在一个方面,本发明旨在克服这些缺点,同时使得能够均匀处理较大表面。
其中,目的是提供一种等离子体源和/或表面处理设备,用于在衬底的表面处有效传送和均匀递送短寿命反应性等离子体物质。
发明内容
提供根据权利要求1的等离子体源。
该等离子体源包括具有至少一个开口的外表面,用于从该开口递送等离子体。传送机构被配置为平行于外表面相对于彼此传送衬底和等离子体源,其中,待处理的衬底表面与包含开口的外表面的至少一部分平行。对电极至少包括沿远离衬底的方向延伸的第一基本平行定向的面和第二基本平行定向的面,并且工作电极包括多个平面状瓦片,其中,瓦片包括至少部分地被介电层包围的至少一个膜状导电层。至少两个等离子体收集空间与至少一个开口连通,其中,第一等离子体收集空间至少部分地形成在对电极的第一面与工作电极的第一面之间,并且第二等离子体收集空间至少部分地形成在对电极的第二面与工作电极的第二面之间。气体入口通过至少两个等离子体收集空间向开口提供气体流动。第一瓦片和第二瓦片布置在工作电极的第一平面内,其中,邻近边缘与所述第一等离子体收集空间接界,并且第三瓦片布置在工作电极的平行于第一平面的第二平面内,使得第三瓦片与第一平面中的所述邻近边缘重叠。工作电极和对电极中的至少一个包括所述邻近边缘附近的局部修改以增加到开口的等离子体递送,从而补偿由于邻近边缘引起的等离子体收集的损失。
在一实施例中,该修改包括在所述第一平面中与所述邻近边缘并排的位置处对对电极的第二面提供几何修改。该修改可以增强气体流动,使得可以在瓦片的相对侧上阻碍等离子体生成的位置处产生更多的等离子体。
在另一实施例中,所述局部修改包括对与相邻边缘重叠的瓦片的膜状导电层提供几何修改。具体地,膜状导电层可以部分地沿着衬底的传送方向定向,其中,膜状导电层包括在沿着衬底的传送方向定向的方向上远离所述邻近边缘的延伸,以扩大在与相邻边缘成直线的位置处可以与等离子体相关联的工作电极的区域。通过补偿工作电极的形状(尤其是工作电极的底面的形状),可以通过导电层的延伸来促进等离子体的生成,以补偿邻近边缘附近的减少的生成。注意,衬底相对于表面处理设备的传送方向可以以往复方式反转。
等离子体源尤其适用于原子层沉积(ALD),其中,衬底重复暴露于提供层的表面限制生长的一系列反应物(至少两个)。等离子体源可以用于提供一个或多个连续的反应物,并且可以使用一系列等离子体源。提供非常反应性的等离子体物质的等离子体源使得可以减少共反应物与表面反应直至饱和所需的空间和/或时间。这允许在空间ALD处理中增加衬底速度。在其他实施例中,等离子体源可以用于其他常压等离子体表面处理应用,其中,化学反应性等离子体物质(自由基、离子、电和振动激发物质)需要与表面反应。这种应用的示例是通过氧化(例如,使用O自由基)或还原(使用H自由基)、活化以改善粘附性以及等离子体增强的化学气相沉积(PECVD)进行清洁或蚀刻。
气体组分可以包括N2、O2、H2、H2O、NO、H2O2、NH3、N2O或CO2及其混合物,以产生诸如N、O、H、OH和NH的自由基。
附图说明
通过参考以下附图对示例性实施例的描述,这些和其他目的以及有利方面将变得显而易见。
图1(a至d)示出了用于在衬底上产生均匀沉积的堆叠的等离子体递送布置的示意图;
图2a至图2c示出了一个修改中的等离子体源的侧视图;
图3a至图3c示出了另一修改中的等离子体源的侧视图;
图4示出了另一实施例。
具体实施方式
图2a示出了用于处理衬底11的表面处理设备100的示例性实施例的横截面。例如,衬底11可以是柔性箔或刚性板(诸如半导体晶圆)的一部分。在所示的实施例中,表面处理设备具有面向衬底11的平坦的平面外表面10,但是可选地,可以使用弯曲的形状。在示例性实施例中,外表面10与衬底11之间的距离在0.01mm至0.2mm或至多0.5mm的范围内。外表面10中的开口14-1、14-3用于将常压等离子体(6-1、6-3)馈送到衬底11与外表面10之间的空间中。如本文所使用的,常压是指非有效真空,例如,在0.1巴与10巴之间。在一实施例中,开口14-1、14-3的宽度为0.1mm,但是这可以取决于设计规格。也可以称为喷嘴的开口14-1、14-3沿着垂直于附图平面的线延伸。表面处理设备包括用于移动衬底11的传送机构、由导电材料制成的第一对电极3-1和第二对电极3-3(优选地接地,或者如果衬底未接地,则与衬底处于相同的电势)、包括形成工作电极22的导电材料的介电瓦片4-1、4-3,该工作电极22在对电极的两个面3-1、3-3之间的中心位置定向并且包括多个平面状瓦片4-1、4-3。瓦片包括至少部分地被介电层1-1、1-3包围的膜状导电层2-1、2-3。等离子体收集空间形成在对电极3-1、3-3与形成工作电极22的瓦片4-1、4-3的相对侧之间。工作电极位于开口的中心并且从开口延伸,并且取决于底部几何形状,工作电极可以在瓦片4-1、4-3的相对侧上有效地形成侧向延伸的等离子体收集空间6-1、6-3的两个开口14-1、14-3,该两个开口14-1、14-3呈狭缝形式,该两个等离子体收集空间有效地与开口14或相应的开口狭缝14-1、14-3连通。对电极可以由不锈钢、钛(优选的)或导电陶瓷(例如,氢掺杂的SiC)制成。横向于对电极3-1、3-3的附图平面,工作电极22至少沿着开口14的长度延伸。另外,表面处理设备可以包括耦接到对电极3-1、3-3和作为工作电极22的一部分的导电层2-1、2-3的交流或脉冲电压发生器(未示出),用于在一方面的工作电极22与另一方面的对电极3-1、3-3之间施加电场。可选地,可以使用表面处理设备外部的电压发生器。
仅象征性地示出了衬底11的传送机构。例如,传送机构可以包括用于传送衬底11的传送带,或者工作台和驱动工作台的马达,或者可以使用包括第一旋转辊和第二旋转辊的辊对辊(R2R)机构,诸如箔的衬底11分别从该第一旋转辊和第二旋转辊滚下和滚上。在其他实施例中,传送机构可以包括马达,以相对于工作电极22和对电极3-1、3-3的组件移动衬底11,或者反之亦然。在另一实施例中,电极可以集成在旋转滚筒中,开口14从滚筒的表面排出,在这种情况下,传送机构可以包括马达以直接或间接驱动滚筒的旋转。
对电极3-1和3-3具有楔形部分9-1、9-3,每个楔形部分终止于开口14处的尖锐边缘。
瓦片4-1、4-3的底部处的尖锐边缘可以部分地由开口中的间隔元件支撑,以确保等离子体收集空间的适当间距。这对于适当地产生(非丝状)等离子体是重要的。例如,示出了具有平坦表面的楔形部分9-1、9-3,但是可选地也可以使用弯曲表面。例如,可以使用由不锈钢制成的第一楔形部分9-1和第二楔形部分9-3。该部分具有楔形的事实是指其上表面和下表面朝向尖锐边缘会聚,即它们的距离减小。如果上表面和下表面从边缘沿平坦平面延伸,则它们彼此成角度,该角度大于零度并且小于九十度,优选地在十度与六十度之间,更优选地小于四十五度,并且甚至更优选地为三十度或更小。如果使用弯曲的上表面或下表面,则当然不存在固定的角度,但是优选地,在从边缘到表面上距尖锐边缘3mm距离处的点的正交横截面线中,处于平坦平面所描述的范围内的角度。
在示例性实施例中,楔形部分9-1、9-3的下表面位于单个平坦平面中,并且形成面向衬底11的表面处理设备的外表面10。
工作电极22具有与形成对电极3-1、3-3的楔形9-1、9-3的面部分并排或平行的表面。介电层1-1、1-3覆盖工作电极22的表面,例如,可以使用氧化铝介电层。在一实施例中,工作电极22可以实现为由介电层1-1、1-3覆盖的膜电极。介电层1-1、1-3可以是瓦片4-1、4-3的组成部分。
介电阻挡放电等离子体收集空间位于对电极3-1、3-3与工作电极22之间的气体体积中,其中,对电极与介电层1-1、1-3之间的距离相对较小。介电层1-1、1-3的下表面适合V形开口,从而为等离子体流体在介电层1-1、1-3的下表面与对电极的第一楔形部分9-1和第二楔形部分9-3的上表面之间的等离子体收集空间6-1、6-3中流动留下薄的平坦平面的等离子体收集空间。优选地,介电层1-1、1-3的下表面与第一楔形部分9-1和第二楔形部分9-3的上表面之间的距离在这些等离子体收集空间内是恒定的。在等离子体收集空间6-1、6-3中生成的介电阻挡放电等离子体可以作为表面介电阻挡放电(SDBD)等离子体延伸到这些等离子体收集空间的外部,到达直接面向衬底的介电层1-1、1-3的表面部分。保持开口14的总宽度足够小,即使当该衬底是导电的并且距离非常小时,电离等离子体也不会转移到衬底上。以这种方式,可以在距衬底非常短的距离处有效地生成远程SDBD等离子体,而无需使用衬底作为电极。这对于需要高自由基通量而不被直接等离子体损坏衬底的应用是重要的。开口的优选宽度取决于工作电极22的介电层2与衬底之间的空间间隙。对于工作电极与衬底之间的间隙在0.1mm至0.3mm的范围内,避免等离子体直接到衬底的开口14的可能宽度在0.5mm至2.0mm(优选地,0.7mm至1.5mm)的范围内。
在操作中,由发生器(未示出)在工作电极22的导电层2-1、2-3与对电极3-1、3-3之间施加交流或脉冲高电压差。对电极3-1、3-3可以保持恒定电势,例如接地电势,并且可以向导电层2-1、2-3施加高频电势。可以是纯气体或气体混合物(N2、O2、H2O、H2O2、NO、N2O、H2、NH3、CO2等)的气体通过气体入口5-1、5-3来提供,并且从气体入口5-1、5-3经由工作电极22与对电极3-1、3-3之间的平面等离子体收集空间流动到开口14。这些空间中由电压差产生的高频电场使气体电离,从而产生等离子体。已经发现,一氧化氮(NO)可以与其他气体(诸如N2)结合使用,以提高例如等离子体收集空间中的自由基密度。可选地或另外,可以添加NO来降低操作所提出的等离子体设备所需的气体流速。
电离的气体流入开口14,在该开口14中,形成常压等离子体,即在相当大的压力的气体中的等离子体。即使在高频电压的时间段内,常压等离子体也趋于快速消失。因此,等离子体必须在所施加的交流或脉冲电压的每半个周期期间周期性地重新启动。除了中性分子外,等离子体还可能包含自由电子、离子、电子和振动激发的分子、光子和自由基。许多等离子体物质是化学反应性的,并且可以表示为反应性等离子体物质(RPS)。RPS的性质和浓度取决于气体组分和电等离子体条件。此外,快速重组过程引起根据空间和根据时间两者的RPS的强烈变化。RPS的其他示例是电子或振动激发的原子和分子。包含显著浓度RPS的等离子体流过开口14,并且从开口14横向流过衬底11与外表面10之间的空间到开口14的两侧。在开口14的下方,并且在开口14之外的一定程度上,RPS与衬底11的表面相互作用。
选择介电层1-1、1-3的厚度,使得其至少足够厚以避免通过介电层1-1、1-3放电。层厚度没有基本的上限,但是为了将维持等离子体所需的高频电压保持较低,厚度优选地不大于可接受的最小值。在示例性实施例中,使用0.1mm至2mm范围内(例如0.15mm)的厚度。介电阻挡层可以从挤压管(例如陶瓷管)或从涂覆陶瓷的金属管获得。管状结构提供高固有机械强度。形状还可以是正方形、六边形等。加工两个或多个表面以符合工作电极22与对电极3-1、3-3之间的间隙距离所需的机械公差。在实际的实施例中,工作电极定向在对电极3-1、3-3的两个相对面之间的中心位置处,并且包括多个平面状瓦片4,其中,瓦片包括一个或多个膜状导电层2-1、2-3,该导电层2-1、2-3至少部分地被介电层1-1、1-3包围。例如,在低温共烧陶瓷工艺中,瓦片4可以通过层状堆叠生陶瓷片来制造,其中,导电层被掩埋并通过通孔耦接。除了片状制造之外,还可以使用注浆铸造或注塑模制的陶瓷,其中,可以在最终之前将一种形式模制在形状上。还可以使用3D打印技术。
可以使用耦接到两个入口5-1、5-3的单个气体源(未示出)。气体源可以包括用于气体的不同组分的子源和具有耦接到子源的输入端和耦接到入口5-1、5-3的输出端的气体混合器。
来自入口5-1、5-3的气体流速(例如,每秒的质量或体积)可以取决于衬底11上的反应性等离子体物质的期望速率来选择。在一示例中,使用每秒1000立方毫米至2000立方毫米、每个入口每毫米开口长度的速率,或者在通过假设一个大气压和25摄氏度的温度获得的相应质量流动范围内。
通过工作电极22与对电极3-1、3-3之间的空间的气体流速对应于流速除以空间的横截面积(厚度乘以宽度)。通过将横截面积保持较小,实现高流速。高流速具有以下优点:由于在衬底11上反应之前自由基和离子的重组,将发生较少的损失。
图2b示出了等离子体源设备上的基本相同的横截面图,但是在瓦片4-1终止并且相邻边缘没有示出截面导电层的截面位置处,与沿该截面位置延伸的相对瓦片4-3的可见层2-3形成对比。图2c的示图进一步阐明了这一点,因为其示出了垂直于图2a和图2b中的示图平面的截面图。
实际上,可以示出瓦片4-1、4-2具有邻近边缘12,其中,在图2b的截面图中,仅示出了与另一瓦片的相邻边缘重叠的单个电极4-3。注意,类似瓦片4-1和4-3,瓦片4-2包括膜状导电层(内部电极)2-2,并且在瓦片与对电极之间形成等离子体收集空间6-2。
图2b中的截面图示出了对电极3-3中邻近边缘12附近的局部修改13,以增加到开口6-3的等离子体递送,从而补偿由于邻近边缘12引起的等离子体收集的损失。在该示例中,通过在与所述邻近边缘12并排的位置处对对电极3-3的面进行几何修改来提供该修改。更具体地,所述几何修改包括在所述第二面中的所述位置处提供凹槽,以增加经由所述凹槽下游的第二等离子体收集空间的气体流速。凹槽具有增加与接界邻近边缘的空间相对的等离子体收集空间中的流速的功能。有利地,等离子体收集空间中的等离子体是饱和的,因此通过增加流动,在等离子体收集空间的下游提供化学反应性等离子体物质的密度的线性增加。这可以通过选择凹槽13的通道长度来方便地提供,该通道长度终止于远离开口的位置处。在开口附近,优选地,狭缝是具有预定间隙宽度的平面形状。通过凹槽13增加相对侧的等离子体速率,可以均衡开口14中的等离子体递送。尽管该实施例示出了作为局部修改的凹槽结构13,但是可以设计其他流动增强结构,诸如工作电极22中的凹陷。优选地,凹槽(沟槽)不延伸到角状对电极3-3的端部。减小等离子体体积的狭窄部分的长度(沿气体流动方向)对于增加通过该体积的质量流速是足够有效的。通过沟槽下游的等离子体体积的气体流动主要由狭窄部分(具有较小h)的因子h3/L来确定,并且因此可以通过减小该狭窄部分的L来增加。
提供以恒定且相对较小的狭缝宽度终止于开口14-3的等离子体收集空间6-3具有以下重要优点:
·具有增加的气体质量流动的该部分中所施加的电场条件与两个邻近部分中所施加的电场条件相同。介电阻挡放电等离子体的均匀性很大程度上取决于电场均匀性,并且受到局部增加的流动的影响很小。
·开口14-3的宽度可以保持小于衬底与等离子体源之间的(最小)距离。在升级系统(较大衬底宽度R2R、S2S)的实际操作中,注入器到衬底间隙可以在0.1mm至0.3mm的范围内变化。因此,不期望将等离子体收集空间6-3的端部处的等离子体狭缝间隙(h)扩大至更大的值,以防止气体在开口14中沿垂直于衬底移动的方向传输。
沿着狭缝的长度获得均匀气体分布的可选方法是使用多孔介电材料,该多孔介电材料可透过气体并且具有小孔径。在这种气体中,填充有多孔介电材料的“间隙”(h)可以具有更大的宽度,通常在0.3mm至1.0mm的范围内,并且孔的尺寸小于该范围。多孔层可以被制造为介电阻挡层上的层,作为所提供的平铺介电阻挡元件4的组成部分,封装内部电极(2-1、2-3)的介电材料是非多孔的,具有高密度和电压绝缘。
此外,示出了在与凹槽13相对的一侧,在邻近边缘12附近与等离子体收集空间6-1、6-3接界,工作电极具有突出部15。突出部15限制了瓦片4-1和4-2的邻近边缘12附近的气体流动,并且平衡整个横截面流动,以沿着开口的长度获得均匀的流动分布。突出部由单个脊形成,例如部分地沿着邻近边缘12延伸,但是还可以是在等离子体收集空间中与邻近边缘并排的更复杂的部分收缩部,从而减少邻近边缘12下游位置处的等离子体收集空间中的气体流动。当接触工作电极22时,突出部15可以限定对电极3-1、3-3与介电阻挡瓦片4-1、4-3之间的等离子体气体间隙的较小长度和该长度的高精度(0.1mm+/-0.01mm)。通过闭合该脊,在线性介电元件的不会生成等离子体的端部附近避免了非等离子体活化气体流动。
在本发明的另一方面,图2a至图2c示出了瓦片4-1、4-3具有外部导电接触区域7-1、7-3,该外部导电接触区域7-1、7-3通过通孔连接耦接到膜状导电层2-1、2-3。导电区域7-1、7-3可以以这样的方式集成到瓦片上,使得瓦片4-1、4-2、4-3可以布置为叠层,其中,第一瓦片4-1的接触区域7-1与相对瓦片4-3的接触区域7-3导电接触,使得所述瓦片中的所述膜状导电层可以共享有效相同的电势。可选地,实心金属条带8可以用于连接接触区域7-1、7-3和瓦片4-2的接触区域7-2,以共享有效相同的电势。注意,瓦片4-2的接触区域7-2未在图2a至图2b的横截面图中示出。如从图2c可以看到的,瓦片2及其相应的接触区域存在于图2a至图2b中的图像的平面之外的方向上。
图3a至图3c示出了排列在平面中的两个瓦片的邻近边缘附近的局部修改的另一示例,以增加到开口的等离子体递送,从而补偿由于邻近边缘引起的等离子体收集的损失。所述局部修改包括在与相邻边缘重叠的瓦片中向膜状导电层提供部分地沿着衬底的传送方向定向的延伸。在图3a中示出,可以通过添加平行的、通过通孔电互连的导电层来实现该延伸。
如图3a所示,在介电瓦片4-1、4-3内具有不同尺寸和位置的平行平面状导电层2-1a、2-3a可以用于控制面向对电极3-1、3-3的边缘状部分9-1、9-3的瓦片的底部处的介电层的厚度。这对于在等离子体收集空间6-1、6-3中获得足够均匀的电场分布以均匀地生成等离子体是重要的。图3a示出了每个瓦片中不同尺寸的两个平行导电层,但是可以使用更多数量的平行导电层来改善等离子体收集空间中所施加的电场的均匀性。
具有内部导电膜和外部导电膜的陶瓷瓦片可以使用共烧技术来生产,其中,首先组装陶瓷片和印刷金属膜的叠层,并且然后烧制以获得单片金属-陶瓷结构。通常,导电层之间的距离在0.1mm至1.0mm(优选地,0.2mm至0.5mm)的范围内。印刷的共烧层的厚度优选地在0.1mm至0.2mm的范围内。金属膜优选地具有连续的线状边缘,但是远离该边缘金属膜不需要闭合。图案化的金属膜可以改善最终共烧结构的机械和电压绝缘性能。
图3b示出了与图3a所示的横截面类似的横截面,但是在沿着介电瓦片4-1的边缘12附近的开口14的不同位置处。由于在瓦片4-1、4-2的边缘附近不存在导电层,因此在该位置将生成较少的等离子体或者不生成等离子体。通过在相对瓦片4-3中在边缘的位置处添加更多的导电层来补偿等离子体收集的损失。
如图3a和图3b所示,每个瓦片4-1、4-3内的导电层2-1a、2-3a连接到存在于瓦片的外表面的中心部分上的接触层7-1、7-3。相对瓦片的接触区域用于向连续介电瓦片的所有导电层提供相同的高频电压,从而形成工作电极22。
图3c示出了工作电极22和对电极3-1、3-3的不同横截面。平行定位在介电瓦片内的不同导电层沿细长开口14的方向具有不同的长度。通过相对瓦片4-3中的额外的导电层来补偿瓦片4-1和4-2的边缘12附近不存在等离子体收集。如图3c所示的横截面所示,工作电极22中的多个导电层可以用于形成三角形等离子体形成区域。等离子体形成区域沿衬底移动方向的长度朝向与相邻边缘成直线的位置逐渐增大。因此,在瓦片中提供多个膜状导电层,其至少部分地由面向衬底的介电阻挡层包围。膜状层2-1a具有沿着开口14的长度的边缘,以形成跨开口的宽度的平行边缘的图案。如可以看到的,例如在参考数字2-1a处,跨开口的图案的宽度朝向与相邻边缘成直线的位置增大。
在该实施例中,等离子体不仅可以形成在图3a中的等离子体收集空间6-1、6-3中,而且可以根据平行导电膜的尺寸和位置延伸到介电瓦片4-1、4-3的底面与衬底之间的体积中。因此,取决于衬底导电性以及导电衬底是连接到固定电势还是电浮(electricallyfloating),等离子体与衬底之间可能发生相对强的电相互作用。
平行导电层的组件改善了等离子体均匀性,尤其是沿细长开口14的方向。通过在介电瓦片中使用多个导电层,获得朝向移动衬底的等离子体产生的反应性自由基通量的均匀分布。
在介电瓦片4-1、4-3的底面处的开口14内生成的等离子体导致高自由基通量,该高自由基通量主要受气体扩散控制而较少受气体流动控制。然而,使用穿过等离子体收集空间6-1、6-3朝向衬底11的气体流动为开口14中的均匀等离子体的延伸提供了有利的条件(电子、负离子、能量激发的分子)。
形成在相对宽的开口14中的等离子体可以称为“平面平行”等离子体,其独立于与介电质、接地导电或浮置电势导电衬底的具体类型的电相互作用。
尽管该平行平面等离子体到衬底的自由基通量主要是扩散控制的,但是通过平行平面部分的流动传送将反应区域扩大至大于开口14的表面积,延伸到对电极(3-1、3-3)的外表面(10)之间的体积。由衬底11与表面处理设备100的外表面10之间的相对运动引起的阻曳流动将引起流动传送。有利的是,进一步控制等离子体注入器与衬底之间的体积中的流动方向。可以通过使用经由气体入口5-1和5-3以及等离子体收集空间6-1和6-3的不同压力诱导的等离子体气体流速来控制流动方向。有利的是,在相同方向上使用压力诱导的气体流动和衬底运动诱导的阻曳流动,从而不相互抵消。当衬底传送方向以往复方式反转时,期望相应地改变通过气体入口5-1和5-3的相对气体流速。
图4示出了表面处理设备100的可选实施例,其中,等离子体源已经集成在提供吹扫气体和排气的注入器头中。这种类型的注入器头在空间原子层沉积或空间原子层蚀刻(空间ALD/ALE)中尤其有用,其中,沉积或蚀刻薄层,使得它们沿着一系列气体注入器和排气通道通过。在空间ALD中,衬底顺序地暴露于涂层前体气体(例如,三甲基铝(TMA)或三甲基铟(TMI))、吹扫气体以去除未表面反应的前体气体(N2)、共反应物(例如,等离子体生成的自由基),并且最后吹扫气体以去除未表面反应的化合物(例如,O3、H2O、H2O2)。
在空间ALD/ALE应用中,减小注入器头的尺寸是重要的。这可以通过使用如图4所示的包括根据本发明的等离子体源的注入器头来实现。工作电极22的宽度相对较小,其中,陶瓷介电瓦片4-1、4-3提供中心电接触件7,该中心电接触件7可以经由电连接条带8连接到位于由开口14的长度限定的等离子体处理区外侧的横向位置处的外部高频电压发生器。工作电极的宽度通常在2mm至4mm的范围内。
由于大多数类型的自由基通过气相复合并且在表面上非常快速地反应,因此沿着对电极3-1、3-3的平坦表面和开口14外侧的自由基暴露的有用长度最大为几毫米。
如图4所示的表面处理设备和等离子体源的实施例尤其紧凑,并且非常适合于在等离子体暴露时间的短时间段内处理移动衬底。
工作电极22和对电极3的较小长度提供了额外的优点。反应性等离子体可以用作增强等离子体反应性物质的反应性的热源。附近的排气通道16和吹扫气体注入器19允许在等离子体暴露之后快速冷却衬底顶面层。表面处理设备和集成等离子体源的额外的特征允许借助等离子体源进行更有效的气体加热。可以使对电极3的宽度20较小,从而限制从等离子体源的底部到注入器头的热传导损失。另外,绝缘材料21(例如,陶瓷材料)可以用于限制热传导损失。
需强调的是,热增强等离子体注入器并非旨在用于高温(热等离子体)。介电阻挡放电等离子体源达到的温度升高在20℃至100℃的范围内。取决于气体流速和等离子体功率,进而由所使用的电压和由电力发电机提供的频率来确定,实际达到的温度升高值为20℃至50℃。例如,在操作中加热的DBD等离子体源可以用于使用注入器头在100℃的平均箔温度下处理PET箔或任何其他温度敏感的衬底,以在120℃至150℃下快速地逐层退火衬底顶表面。
在已经使用术语介电层的情况下,应该理解,该层不必在任何地方都具有相同的厚度。尽管已经描述了其中来自开口的气体另外可以用于在第一电极的外表面与衬底之间产生气体轴承(gas bearing)的实施例,但是应当理解,这种气体轴承并不总是必要的。如果衬底是柔性箔,则这是非常有用的,但是当使用刚性衬底(即,不会变形到至外表面的距离可以显著变化的程度(例如,超过百分之二十)的衬底)时,可以使用维持外表面与衬底之间的距离的另一种方式,诸如与开口的端部相邻的接触间隔件。
本申请涉及以下列出的项“a”至项“o”。应当理解,这些项的各方面可以与例如权利要求中所描述的本发明的其他方面相结合。例如,如项“a”中所描述的等离子体源,其中,所述瓦片包括耦接到膜状导电层的外部导电接触区域;并且其中,所述瓦片布置为叠层,使得第一瓦片的接触区域与相对瓦片的接触区域导电接触,可以与等离子体源的各种实施例的各方面相结合,其中,工作电极和对电极中的至少一个包括在所述邻近边缘附近的局部修改以增加到开口的等离子体递送,从而补偿由于邻近边缘引起的等离子体收集的损失。
通过为等离子体源中的瓦片提供耦接到膜状导电层的外部导电接触区域,可以接触叠层中的瓦片,使得所述瓦片中的所述膜状导电层可以共享有效相同的电势。此外,提供外部导电接触区域可以相对较小地减小工作电极22的宽度,其中,陶瓷介电瓦片4-1、4-3提供中心电接触件7,该中心电接触件7可以经由电连接条带8连接到位于由开口14的长度限定的等离子体处理区外侧的横向位置处的外部高频电压发生器。
a.一种等离子体源,包括:
-外表面,其包括至少一个开口,用于从开口递送等离子体;
-传送机构,其被配置为平行于外表面相对于彼此传送衬底和等离子体源,其中,待处理的衬底表面与包含开口的外表面的至少一部分平行;
-对电极,其至少包括沿远离衬底的方向延伸的第一基本平行定向的面和第二基本平行定向的面;
-工作电极,其至少包括两个至少部分重叠的平面状瓦片,其中,瓦片包括至少部分地被介电层包围的膜状导电层;
-与至少一个开口连通的至少两个等离子体收集空间,其中,第一等离子体收集空间至少部分地形成在对电极的第一面与工作电极的第一面之间,并且第二等离子体收集空间至少部分地形成在对电极的第二面与工作电极的第二面之间;
-气体入口,其用于通过至少两个等离子体收集空间向开口提供气体流动;
-其中,所述瓦片包括耦接到膜状导电层的外部导电接触区域;并且
-其中,所述瓦片布置为叠层,使得第一瓦片的接触区域与相对瓦片的接触区域导电接触。
b.根据项a的等离子体源,其中,所述导电连接由导电通孔提供。
c.根据项a的等离子体源,其中,所述导电连接由沿着所述瓦片的外表面延伸到所述外部导电接触区域的膜状导电层的条带提供。
d.根据项a的等离子体源,其中,所述叠层件进一步包括设置在相对瓦片的外部导电接触区域之间的导电板元件。
e.根据项a的等离子体源,其中,对于对电极,所述对电极的沿着所述衬底的移动方向最接近待处理的衬底表面的面的宽度设置在1mm至4mm的范围内,以便减少从所述电极到所述待处理的衬底的热传递。
f.根据项a至项e中任一项的等离子体源,其中,
-在叠层内,第一瓦片(4-1)和第二瓦片(4-2)布置在工作电极的第一平面内,其中,邻近边缘(12)与所述第一等离子体收集空间接界,并且第三瓦片(4-3)布置在工作电极的平行于第一平面的第二平面内,使得第三瓦片与第一平面中的所述邻近边缘重叠;并且
-其中,工作电极(22)和对电极(3-1、3-3)中的至少一个包括所述邻近边缘附近的局部修改以增加到开口的等离子体递送,从而补偿由于邻近边缘引起的等离子体收集的损失。
g.根据项f的等离子体源,其中,所述局部修改包括在所述第一平面中与所述邻近边缘并排的位置处提供给对电极的第二面的几何修改。
h.根据项g的等离子体源,其中,所述几何修改包括设置在所述第二面中的所述位置处的凹槽(13),以增加经由所述凹槽下游的第二等离子体收集空间的气体流速。
i.根据项h的等离子体源,进一步包括设置在第一等离子体收集空间中与邻近边缘并排的部分收缩部(15),从而减少所述邻近边缘下游的位置处的第一等离子体收集空间中的气体流动。
j.根据项i的等离子体源,其中,所述部分收缩部包括脊(15),该脊设置在对电极的第一面中与所述邻近边缘并排的位置处。
k.根据项f的等离子体源,其中,所述局部修改包括设置在瓦片中的多个膜状导电层(2-1a、2-3a),该多个膜状导电层至少部分地由面向衬底的介电阻挡层包围,其中,膜状层具有沿着开口的长度的边缘,以形成跨开口的宽度的平行边缘的图案。
l.根据项k的等离子体源,其中,所述图案具有跨开口的宽度,该宽度朝向与相邻边缘成直线的位置逐渐增大。
m.根据项f的等离子体源,其中,所述局部修改包括提供给与相邻边缘重叠的瓦片的膜状导电层的延伸,该延伸部分地跨开口的宽度定向。
n.根据项m的等离子体源,其中,所述延伸的长度朝向与相邻边缘成直线的位置逐渐增大。
o.根据项f的等离子体源,其中,所述几何修改包括在第二平面中与所述邻近边缘并排的位置处介电阻挡层至瓦片的减小的厚度,以便局部地增大电场强度。

Claims (16)

1.一种等离子体源(100),包括:
-外表面(10),包括至少一个开口(14),用于从所述开口递送等离子体;
-传送机构,被配置为沿着所述外表面相对于彼此传送衬底(11)和所述等离子体源;
-对电极,至少包括沿远离所述衬底的方向延伸的第一面(3-1)和第二面(3-3);
-工作电极(22),包括多个平面状瓦片(4-1、4-2、4-3),其中,瓦片包括至少部分地被介电层(1-1、1-2、1-3)包围的至少一个膜状导电层(2-1、2-2、2-3);
-与所述至少一个开口连通的至少两个等离子体收集空间,其中,第一等离子体收集空间(6-1)至少部分地形成在所述对电极的第一面与所述工作电极的第一面之间,并且第二等离子体收集空间(6-3)至少部分地形成在所述对电极的第二面与所述工作电极的第二面之间;
-气体入口(5),用于通过所述至少两个等离子体收集空间向所述开口提供气体流动;
-其中,第一瓦片(4-1)和第二瓦片(4-2)布置在所述工作电极的第一平面内,其中,邻近边缘(12)与所述第一等离子体收集空间接界,并且第三瓦片(4-3)布置在所述工作电极的平行于所述第一平面的第二平面内,使得所述第三瓦片与所述第一平面中的所述邻近边缘重叠;并且
-其中,所述工作电极(22)和所述对电极中的至少一个包括所述邻近边缘附近的局部修改以增加到所述开口的等离子体递送,从而补偿由于所述邻近边缘引起的等离子体收集的损失。
2.根据权利要求1所述的等离子体源,其中,所述局部修改包括在所述第一平面中与所述邻近边缘并排的位置处提供给所述对电极的所述第二面的几何修改。
3.根据权利要求2所述的等离子体源,其中,所述几何修改包括设置在所述第二面中的所述位置处的凹槽(13),以增加经由所述凹槽下游的所述第二等离子体收集空间的气体流速。
4.根据权利要求3所述的等离子体源,进一步包括设置在所述第一等离子体收集空间中与所述邻近边缘并排的部分收缩部,从而减少所述邻近边缘下游的位置处的所述第一等离子体收集空间中的所述气体流动。
5.根据权利要求4所述的等离子体源,其中,所述部分收缩部包括脊,所述脊设置在所述对电极的所述第一面中与所述邻近边缘并排的位置处。
6.根据权利要求1所述的等离子体源,其中,所述局部修改包括设置在所述瓦片中的多个膜状导电层(2-1a、2-3a),所述多个膜状导电层至少部分地由面向所述衬底的介电阻挡层包围,其中,膜状层具有沿着所述开口的长度的边缘,以形成跨所述开口的宽度的平行边缘的图案。
7.根据权利要求6所述的等离子体源,其中,所述图案具有跨所述开口的宽度,所述宽度朝向与相邻边缘成直线的位置逐渐增大。
8.根据权利要求1所述的等离子体源,其中,所述局部修改包括提供给与相邻边缘重叠的瓦片的所述膜状导电层的延伸,所述延伸部分地跨所述开口的宽度定向。
9.根据权利要求8所述的等离子体源,其中,所述延伸的长度朝向与相邻边缘成直线的位置逐渐增大。
10.根据权利要求2所述的等离子体源,其中,所述几何修改包括在所述第二平面中与所述邻近边缘并排的位置处介电阻挡层至瓦片的减小的厚度,以便局部地增大电场强度。
11.根据前述权利要求中任一项所述的等离子体源,其中,所述瓦片进一步包括与所述膜状导电层电连接的外部导电接触区域(7-1、7-3),并且其中,所述瓦片布置为叠层,使得所述第一瓦片的接触区域与相对瓦片的接触区域导电接触,从而使得所述瓦片中的所述膜状导电层共享有效相同的电势。
12.根据权利要求11所述的等离子体源,其中,导电连接由导电通孔提供。
13.根据权利要求11所述的等离子体源,其中,导电连接由沿着所述瓦片的外表面延伸到所述外部导电接触区域的膜状导电层的条带提供。
14.根据权利要求11所述的等离子体源,其中,所述叠层进一步包括设置在相对瓦片的所述外部导电接触区域之间的导电板元件(8)。
15.根据权利要求11所述的等离子体源,其中,对于对电极,所述对电极的沿着所述衬底的移动方向最接近待处理的衬底表面的面的宽度设置在1mm至4mm的范围内,以便减少从电极到所述待处理的衬底的热传递。
16.一种在高于待处理的衬底的最高使用温度的操作温度下操作根据权利要求1所述的等离子体源的方法,其中,所述操作温度在高于使用温度20℃至100℃的范围内。
CN201980041072.1A 2018-06-21 2019-06-20 等离子体源及其操作方法 Active CN112313771B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP18179096.5 2018-06-21
EP18179096.5A EP3588533A1 (en) 2018-06-21 2018-06-21 Plasma source and method of operating the same
PCT/NL2019/050383 WO2019245372A1 (en) 2018-06-21 2019-06-20 Plasma source and method of operating the same

Publications (2)

Publication Number Publication Date
CN112313771A CN112313771A (zh) 2021-02-02
CN112313771B true CN112313771B (zh) 2024-03-08

Family

ID=62750781

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980041072.1A Active CN112313771B (zh) 2018-06-21 2019-06-20 等离子体源及其操作方法

Country Status (6)

Country Link
US (1) US11610764B2 (zh)
EP (2) EP3588533A1 (zh)
JP (1) JP7295892B2 (zh)
KR (1) KR20210021529A (zh)
CN (1) CN112313771B (zh)
WO (1) WO2019245372A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102376127B1 (ko) * 2018-05-30 2022-03-18 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 활성 가스 생성 장치
EP3588533A1 (en) * 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
NL2032061B1 (en) * 2022-06-02 2023-12-14 Sparknano B V Plasma source and apparatus for atomic layer deposition

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015022806A (ja) * 2013-07-16 2015-02-02 東京エレクトロン株式会社 誘導結合プラズマ処理装置

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR88569E (fr) 1965-07-16 1967-02-24 Perfectionnements apportés à la brosse à dents ordinaire pour nettoyer les dents et leurs interstices
DE2834366A1 (de) 1978-08-04 1980-02-21 Peter Van Leeuwen Vorrichtung zur oberflaechenbehandlung einer laufenden kunststoffbahn mittels einer funkenentladung
US4724508A (en) 1985-12-18 1988-02-09 Becton, Dickinson And Company Method and apparatus for the continuous corona discharge treatment of the surface of formed articles
JP2002018276A (ja) * 2000-07-10 2002-01-22 Pearl Kogyo Kk 大気圧プラズマ処理装置
US6652069B2 (en) * 2000-11-22 2003-11-25 Konica Corporation Method of surface treatment, device of surface treatment, and head for use in ink jet printer
US7064491B2 (en) * 2000-11-30 2006-06-20 Semequip, Inc. Ion implantation system and control method
RU2196394C1 (ru) 2001-05-18 2003-01-10 Александров Андрей Федорович Способ плазменной обработки материалов, способ генерации плазмы и устройство для плазменной обработки материалов
US20060162741A1 (en) * 2005-01-26 2006-07-27 Cerionx, Inc. Method and apparatus for cleaning and surface conditioning objects with plasma
KR100606451B1 (ko) 2004-06-16 2006-08-01 송석균 상압 플라즈마 발생장치
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060273265A1 (en) 2005-05-11 2006-12-07 Ronald Lipson UV curing system with remote controller
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
KR100723019B1 (ko) * 2006-09-25 2007-05-30 (주) 씨엠테크 표면처리를 위한 플라즈마 발생 장치
JP5654238B2 (ja) * 2006-12-28 2015-01-14 ネーデルランツ オルガニサティー フォール トゥーゲパストナトゥールヴェテンシャッペリーク オンデルズーク テーエンオー 表面誘電体バリア放電プラズマユニット、および表面プラズマを発生させる方法
US7972470B2 (en) * 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
EP2180768A1 (en) * 2008-10-23 2010-04-28 TNO Nederlandse Organisatie voor Toegepast Wetenschappelijk Onderzoek Apparatus and method for treating an object
TWI527930B (zh) * 2009-02-04 2016-04-01 應用材料股份有限公司 用於電漿製程的接地回流路徑
US8329557B2 (en) * 2009-05-13 2012-12-11 Silicon Genesis Corporation Techniques for forming thin films by implantation with reduced channeling
EP2362411A1 (en) * 2010-02-26 2011-08-31 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus and method for reactive ion etching
KR20120002795A (ko) * 2010-07-01 2012-01-09 주성엔지니어링(주) 피딩라인의 차폐수단을 가지는 전원공급수단 및 이를 포함한 기판처리장치
US20120164834A1 (en) * 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US20120180954A1 (en) * 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2013110963A1 (fr) 2012-01-24 2013-08-01 Arcelormittal Investigacion Y Desarrollo Sl Appareil et procédé de revêtement d'un substrat métallique en défilement
US20130337657A1 (en) * 2012-06-19 2013-12-19 Plasmasi, Inc. Apparatus and method for forming thin protective and optical layers on substrates
US9355819B2 (en) * 2013-08-16 2016-05-31 Applied Materials, Inc. Elongated capacitively coupled plasma source for high temperature low pressure environments
EP2871038A1 (en) 2013-11-07 2015-05-13 Maan Research & Development B.V. Device for treating a surface
US9484214B2 (en) * 2014-02-19 2016-11-01 Lam Research Corporation Systems and methods for improving wafer etch non-uniformity when using transformer-coupled plasma
CN104862671B (zh) * 2014-02-24 2019-08-23 北京北方华创微电子装备有限公司 一种反应腔室及等离子体加工设备
TWI690968B (zh) * 2014-03-07 2020-04-11 美商應用材料股份有限公司 用於修改基板表面的掠射角電漿處理
EP2960358A1 (en) * 2014-06-25 2015-12-30 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and surface treatment method
JP6356516B2 (ja) * 2014-07-22 2018-07-11 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
EP3588533A1 (en) * 2018-06-21 2020-01-01 Nederlandse Organisatie voor toegepast- natuurwetenschappelijk onderzoek TNO Plasma source and method of operating the same
KR20200030162A (ko) * 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2015022806A (ja) * 2013-07-16 2015-02-02 東京エレクトロン株式会社 誘導結合プラズマ処理装置

Also Published As

Publication number Publication date
EP3811395A1 (en) 2021-04-28
JP2021529416A (ja) 2021-10-28
EP3588533A1 (en) 2020-01-01
WO2019245372A1 (en) 2019-12-26
US20210296094A1 (en) 2021-09-23
WO2019245372A8 (en) 2020-03-19
EP3811395B1 (en) 2022-06-01
CN112313771A (zh) 2021-02-02
JP7295892B2 (ja) 2023-06-21
US11610764B2 (en) 2023-03-21
KR20210021529A (ko) 2021-02-26

Similar Documents

Publication Publication Date Title
CN112313771B (zh) 等离子体源及其操作方法
TWI419992B (zh) 用於薄膜沈積之輸送裝置
KR101602517B1 (ko) Pecvd를 이용한 박막 코팅을 증착하기 위한 플라즈마 소스 및 방법
KR102109108B1 (ko) 독립형 가열 엘리먼트
US20110097494A1 (en) Fluid conveyance system including flexible retaining mechanism
US20110212625A1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
US20110097493A1 (en) Fluid distribution manifold including non-parallel non-perpendicular slots
US20110097492A1 (en) Fluid distribution manifold operating state management system
KR20090101918A (ko) 증착 시스템 및 방법
US20110097489A1 (en) Distribution manifold including multiple fluid communication ports
US20110097490A1 (en) Fluid distribution manifold including compliant plates
US20110097491A1 (en) Conveyance system including opposed fluid distribution manifolds
US20110097488A1 (en) Fluid distribution manifold including mirrored finish plate
KR20120104410A (ko) 인라인 코팅 장치
WO2011139472A2 (en) Inline chemical vapor deposition system
US20130059092A1 (en) Method and apparatus for gas distribution and plasma application in a linear deposition chamber
US20220270860A1 (en) Spatially controlled plasma
US10685817B2 (en) Film forming apparatus
KR101270601B1 (ko) 플라즈마 이온을 이용한 기판처리장치 및 기판처리방법
KR101728765B1 (ko) 성막 장치 및 성막 방법
KR101694750B1 (ko) 스프레이 열 분해용 노즐 및 이를 포함하는 박막 형성 장치
KR101278702B1 (ko) 플라즈마 이온을 이용한 기판처리장치 및 플라즈마 이온을 이용한 막 증착방법
KR20150137917A (ko) 스프레이 열 분해 증착용 노즐 유닛, 이를 포함하는 박막 증착 장치, 및 불소 함유 주석 산화물 박막의 형성 방법
TW201534747A (zh) 延伸的前驅物氣體注入方法
KR20240007595A (ko) 가스 분사 장치, 기판 처리 장치 및 박막 증착 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant