CN112272862A - 清洁方法 - Google Patents

清洁方法 Download PDF

Info

Publication number
CN112272862A
CN112272862A CN201980037752.6A CN201980037752A CN112272862A CN 112272862 A CN112272862 A CN 112272862A CN 201980037752 A CN201980037752 A CN 201980037752A CN 112272862 A CN112272862 A CN 112272862A
Authority
CN
China
Prior art keywords
cleaning
pressure
plasma
microwave
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980037752.6A
Other languages
English (en)
Inventor
伊藤聪
野上隆文
横仓瑛太
松本玲佐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN112272862A publication Critical patent/CN112272862A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32504Means for preventing sputtering of the vessel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/488Protection of windows for introduction of radiation into the coating chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Optics & Photonics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种微波等离子体处理装置的清洁方法,该微波等离子体处理装置具有处理容器和微波辐射部,且在所述处理容器的配置所述微波辐射部的位置形成有窗部,该清洁方法包括清洁工序,在该清洁工序中,一边供给清洁气体,一边调整为与包括所述处理容器的壁面、所述微波辐射部以及所述窗部的所述处理容器内的配件中的作为清洁对象的配件的尺寸对应的压力,并通过清洁气体的等离子体来进行清洁。

Description

清洁方法
技术领域
本公开涉及一种清洁方法。
背景技术
专利文献1提出了一种清洁方法,该清洁方法包括:第一工序,向处理容器内供给清洁气体并且产生等离子体,来将处理容器内维持在第一压力并进行清洁;以及第二工序,维持比第一压力高的第二压力来进行清洁。
专利文献2提出一种清洁方法,该清洁方法包括:步骤1,对处理室的内部和收容于处理室的内部的构件进行清洁;步骤2,对处理室的内部和构件各自的下部进行清洁;以及步骤3,对气体供给路径的内部进行清洁。将压力设定为第一压力区间,将温度设定为第一温度区间,并从气体供给路径供给清洁气体来进行步骤1。一边将压力设定为比第一压力区间高的第二压力区间并使温度上升到比第一温度区间高的第二温度区间,一边从气体供给路径供给清洁气体来进行步骤2。一边将压力设定为比第二压力区间低的第三压力区间并将温度维持在第二温度区间,一边从气体供给路径供给清洁气体来进行步骤3。
现有技术文献
专利文献
专利文献1:日本特开2008-211099号公报
专利文献2:日本特开2014-216539号公报
发明内容
发明要解决的问题
本公开提供一种能够有效地进行清洁的技术。
用于解决问题的方案
根据本公开的一个方式,提供一种微波等离子体处理装置的清洁方法,该微波等离子体处理装置具有处理容器和微波辐射部,在所述处理容器的配置所述微波辐射部的位置形成有窗部,所述清洁方法包括清洁工序,在该清洁工序中,一边供给清洁气体,一边调整为与包括所述处理容器的壁面、所述微波辐射部以及所述窗部的所述处理容器内的配件中的作为清洁对象的配件的尺寸对应的压力,并通过清洁气体的等离子体来进行清洁。
发明的效果
根据一个方面,能够有效地进行清洁。
附图说明
图1是示出一个实施方式所涉及的微波等离子体处理装置的一例的图。
图2是示出一个实施方式所涉及的微波等离子体处理装置的顶面的一例的图。
图3是示意性地示出一个实施方式所涉及的微波辐射部和窗部周边的图。
图4是示出一个实施方式所涉及的压力与等离子体的扩散距离的相关曲线图的一例的图。
图5是示出一个实施方式所涉及的清洁处理的一例的流程图。
图6是示出一个实施方式所涉及的清洁处理的结果的一例的图。
图7是示出一个实施方式的变形例所涉及的清洁处理的一例的流程图。
具体实施方式
下面,参照附图来说明用于实施本公开的方式。此外,在本说明书和附图中,通过对于实质相同的结构标注相同的附图标记来省略重复的说明。
[微波等离子体处理装置]
图1表示一个实施方式所涉及的微波等离子体处理装置100的截面图的一例。微波等离子体处理装置100具有收容晶圆W的处理容器1。微波等离子体处理装置100是利用通过微波形成于处理容器1的顶面的表面波等离子体来对晶圆W进行规定的等离子体处理的等离子体处理装置的一例。作为规定的等离子体处理,可例示成膜处理、蚀刻处理或者灰化处理等。
微波等离子体处理装置100具有处理容器1、微波等离子体源2以及控制部3。处理容器1是由铝或不锈钢等金属材料形成的大致圆筒状的容器,并且接地。
处理容器1具有主体部10,且在内部形成等离子体的处理空间。主体部10是构成处理容器1的顶部的圆盘状的顶板。在处理容器1与主体部10的接触面设置有支承环129,由此,处理容器1的内部被气密性地密封。
微波等离子体源2具有微波输出部30、微波传输部40以及微波辐射部50。微波输出部30将微波分配到多个路径并输出。微波通过微波传输部40和微波辐射部50后被导入到处理容器1的内部。被供给到处理容器1内的气体通过被导入的微波的电场而被激励,由此形成表面波等离子体。
在处理容器1内设置有载置晶圆W的载置台11。载置台11被筒状的支承构件12支承,该支承构件12经由绝缘构件12a竖立设置于处理容器1的底部中央。作为构成载置台11和支承构件12的材料,可例示表面被进行了阳极化处理(阳极氧化处理)的铝等金属、在内部具有高频用电极的绝缘构件(陶瓷等)。也可以在载置台11设置用于对晶圆W进行静电吸附的静电吸盘、温度控制机构、用于向晶圆W的背面供给传热用的气体的气体流路等。
载置台11经由匹配器13而与高频偏置电源14连接。通过从高频偏置电源14向载置台11供给高频电力,来将等离子体中的离子向晶圆W侧吸引。此外,根据等离子体处理的特性,也可以不设置高频偏置电源14。
在处理容器1的底部连接有排气管15,在排气管15连接有包括真空泵的排气装置16。当使排气装置16工作时,对处理容器1内进行排气,由此,使处理容器1内快速减压到规定的真空度。在处理容器1的侧面设置有用于进行晶圆W的搬入搬出的搬入搬出口17以及对搬入搬出口17进行开闭的闸阀18。
微波传输部40传输从微波输出部30输出的微波。微波传输部40内的中央微波导入部43b配置于主体部10的中央,六个周缘微波导入部43a(图1中仅图示出两个)沿圆周方向等间隔地配置于主体部10的周边。中央微波导入部43b和六个周缘微波导入部43a具有将分别对应地设置的放大器部42输出的微波导入到微波辐射部50的功能、以及对阻抗进行匹配的功能。下面,将周缘微波导入部43a和中央微波导入部43b也统称为微波导入部43。
如图1以及示出图1的A-A截面的图2所示,六个电介质窗123在主体部10的内部配置于六个周缘微波导入部43a的下方。另外,一个电介质窗133在主体部10的内部配置于中央微波导入部43b的下方。此外,周缘微波导入部43a和电介质窗123的个数不限于六个,能够是两个以上。但是,周缘微波导入部43a和电介质窗123的个数优选为三个以上,例如可以为三个~六个。中央微波导入部43b优选设置一个,但是也可以不设置。
回到图1,微波辐射部50向处理容器1内辐射微波。微波辐射部50具有电介质顶板121、131、缝隙122、132以及电介质窗123、133。电介质顶板121、131由使微波透过的圆盘状的电介质形成,配置于主体部10的上表面。电介质顶板121、131通过相对介电常数比真空大的例如石英、氧化铝(Al2O3)等陶瓷、聚四氟乙烯等氟系树脂、聚酰亚胺系树脂形成。由此,具有使从电介质顶板121、131内透过的微波的波长比在真空中传播的微波的波长短并使包括缝隙122、132的天线变小的功能。
在电介质顶板121、131的下方,电介质窗123、133隔着形成于主体部10的缝隙122、132配置在主体部10内。电介质窗123、133例如由石英、氧化铝(Al2O3)等陶瓷、聚四氟乙烯等氟系树脂、聚酰亚胺系树脂形成。包括电介质窗123、133的微波辐射部50在形成于主体部10的背面的凹部即窗部61的内部露出,向等离子体生成空间U辐射微波。
在周缘微波导入部43a和中央微波导入部43b中,筒状的外侧导体52以及设置于其中心的棒状的内侧导体53配置成同轴状。向外侧导体52与内侧导体53之间供给微波电力,来形成用于向微波辐射部50传播微波的微波传输路径44。
在周缘微波导入部43a和中央微波导入部43b设置有插芯(日语:スラグ)54以及位于其顶端部的阻抗调整构件140。插芯54由电介质形成,具有通过使插芯54移动来使处理容器1内的负载(等离子体)的阻抗与微波输出部30中的微波电源的特性阻抗匹配的功能。阻抗调整构件140由电介质形成,通过其相对介电常数来调整微波传输路径44的阻抗。
如图1和图2所示,在窗部61的内部且在比电介质窗123、133靠外侧的位置设置有圆环状地配置的多个气体孔60。从气体供给源22供给的气体通过气体供给配管111后从气体孔60喷淋状地被供给到处理容器1内。作为气体的一例,可列举例如Ar气体等用于生成等离子体的气体、例如O2气体、N2气体等的想要通过高能量来分解的气体、硅烷气体等处理气体。
微波等离子体处理装置100的各部由控制部3进行控制。控制部3具有微处理器4、ROM(Read Only Memory:只读存储器)5、RAM(Random Access Memory:随机存取存储器)6。在ROM 5、RAM 6中存储有微波等离子体处理装置100的工艺顺序和控制参数即工艺制程。微处理器4基于工艺顺序和工艺制程,来控制微波等离子体处理装置100的各部。另外,控制部3具有触摸面板7和显示器8,能够在按照工艺顺序和工艺制程进行规定的控制时进行输入、显示结果。
在利用该结构的微波等离子体处理装置100进行等离子体处理时,首先,将晶圆W以保持在搬送臂上的状态从打开的闸阀18通过搬入搬出口17后搬入处理容器1内。在搬入晶圆W后,使闸阀18关闭。当将晶圆W搬送到载置台11的上方时,将该晶圆W从搬送臂转送到推杆销,通过使推杆销下降来将该晶圆W载置到载置台11上。通过排气装置16将处理容器1的内部的压力保持在规定的真空度。从气体孔60向处理容器1内喷淋状地导入处理气体。从微波辐射部50辐射出的微波成为微波的表面波并在顶面传播。通过该表面波的电场来激励气体,由此在处理容器内的等离子体生成空间U生成表面波等离子体,并通过表面波等离子体来对晶圆W实施等离子体处理。
[作为清洁对象的配件]
如以上说明的那样,在一个实施方式所涉及的微波等离子体处理装置100中,如作为将图2的一个窗部61放大后的立体图的图3所示,处理容器1的顶面为具有多个存在凹凸的配件的构造。例如,形成于主体部10的背面的窗部61、从窗部61露出的电介质窗123、133、在窗部61内的比电介质窗123等靠外侧的位置圆环状地配置的多个气体孔60、处理容器1内的顶面、侧面是作为清洁对象的配件的一例。
沉积物容易沉积于这样的配件自身的凹凸、配件的外缘的凹凸。例如,沉积物容易沉积于图3所示的电介质窗123、133的外缘、圆环状地配置的多个气体孔60、窗部61的内表面、处理容器1(包括主体部10)的壁面。
这些沉积物是预涂膜、通过在进行等离子体处理时的化学反应而生成的反应生成物。预涂膜是以在成膜处理时不产生微粒的方式对壁面等进行涂覆的膜,是SiN、SiO2等含硅膜。优选的是,每当对一张晶圆W进行成膜处理时,去除沉积物。当在附着有沉积物的状态下例如通过PE-CVD(Plasma-Enhanced Chemical Vapor Deposition:等离子体增强化学气相沉积)等来形成预涂膜时,有时在之后的成膜处理中微粒减少效果不充分。特别地,如图2和图3所示,当从晶圆W的上方的顶面的凹凸产生微粒时,微粒飞到晶圆W上而引起生产率的下降的可能性升高。
因此,将包括处理容器1的顶面、侧面、电介质窗123、133以及窗部61在内的处理容器1内的配件中的至少任一方设为作为清洁对象的配件,通过以下说明的一个实施方式所涉及的清洁方法来从所述配件及其周围去除沉积物。此时,使处理容器1内的压力根据工序而变化。
例如,预先在控制部3的ROM 5或RAM 6中收集并存储有在图4中示出一例的、表示压力与等离子体的扩散距离的相关性的相关数据。等离子体的扩散距离是用直径
Figure BDA0002816952620000061
表示出通过从微波辐射部50的电介质窗123、133辐射的微波的功率而从气体生成的等离子体的扩散(等离子体的扩散)。
[压力的调整]
如图4所示,压力越低,则等离子体的扩散距离越长。但是,压力与等离子体的扩散距离的相关性不限于图4所示的曲线,也可以是直线或其它曲线。例如,在压力a时,等离子体的扩散距离(等离子体的直径
Figure BDA0002816952620000071
)为PA,如图3所示,在直径
Figure BDA0002816952620000072
为PA的等离子体区域A形成等离子体。此时,清洁气体的等离子体到达窗部61的内表面及其外侧的顶面的一部分。由此,能够对附着于窗部61的内表面及其外侧的顶面的一部分的沉积物进行清洁。
此时,从电介质窗123、133对各窗部61辐射微波,来使从气体孔60供给的清洁气体电离和解离,针对每个窗部61形成等离子体区域A所示的等离子体。因此,通过针对如图2所示的七个窗部61生成的等离子体区域A的等离子体,来对主体部10的顶面的整面和处理容器1的侧面进行清洁。
在比压力a大的压力b时,等离子体的扩散距离(等离子体的直径
Figure BDA0002816952620000073
)为PB,如图3所示,在直径
Figure BDA0002816952620000074
为PB的等离子体区域B形成等离子体。此时,清洁气体的等离子体到达窗部61的边缘或比边缘稍靠外侧的位置。由此,能够对附着于窗部61的边缘和窗部61的内表面的沉积物进行清洁。此时,与等离子体区域A的等离子体相比,等离子体区域B的等离子体的等离子体密度高。因此,与使用了等离子体区域A的等离子体的情况相比,能够局部且更重点地对窗部61的内部进行清洁。
在比压力b大的压力b’时,等离子体的扩散距离(等离子体的直径
Figure BDA0002816952620000075
)为PB’,如图3所示,在直径
Figure BDA0002816952620000076
为PB’的等离子体区域B’形成等离子体。此时,清洁气体的等离子体到达圆环状地配置的各气体孔60的外侧。由此,能够对附着于各气体孔60的沉积物进行清洁。此时,压力b’大于压力b。通过针对七个窗部61生成的等离子体区域B’的等离子体,能够局部地对多个气体孔60进行清洁。另外,等离子体区域B’的等离子体密度比等离子体区域B的等离子体密度高,因此,与使用了等离子体区域B的等离子体的情况相比,能够更重点地清洁多个气体孔60及其内部。
在比压力b’大的压力c时,等离子体的扩散距离(等离子体的直径
Figure BDA0002816952620000077
)为PC,如图3所示,在直径
Figure BDA0002816952620000078
为PC的等离子体区域C产生等离子体。此时,清洁气体的等离子体到达比电介质窗123、133靠外侧的位置。由此,能够更重点地对附着于电介质窗123、133的面及其边缘的凹部的沉积物进行清洁。
在该清洁中,根据作为清洁对象的配件的尺寸来调整压力,通过控制等离子体区域来控制等离子体密度,从而能够进行有效的清洁。
此外,压力的变动幅度优选为在100Pa~10Pa的范围内阶段性或连续地变化。另外,使压力变化时的最低压力优选为10Pa。当根据帕邢定律使处理容器1内的压力低于放电的极小点时,为了进行放电而需要更大的电压,等离子体难以点火。只要最低压力为10Pa以上等离子体就能够点火,因此优选将最低压力控制为10Pa。
图4所示的压力与等离子体的扩散距离的相关数据预先存储于ROM 5或RAM 6。控制部3基于压力与等离子体的扩散距离的相关数据,来决定与作为清洁对象的配件对应的压力。控制部3也可以使压力阶段性地下降,来使等离子体区域阶段性地扩大,从而使清洁的范围从局部清洁阶段性地扩大到整体清洁。控制部3也可以使压力连续地变化,以取代使压力阶段性地变化。另外,也可以一边改变压力一遍重复上述多个工序。
例如,在按照第一工序、第二工序的顺序执行清洁方法时,将在第二工序中调整的第二压力P2和在第一工序中调整的第一压力P1调整为不同的压力。此时,优选将在第二工序中调整的第二压力P2调整得比在第一工序中调整的第一压力P1低,并阶段性或连续地扩大清洁范围。
另外,优选的是,第一工序的清洁时间比第二工序长的清洁时间。优选的是,将第一工序和第二工序重复根据沉积物的厚度预先决定的次数。通过重复执行从局部清洁进行到整体清洁并再次从局部的清洁进行到整体的清洁的工序,能够更有效地进行清洁。
另外,例如在按照第一工序、第二工序、第三工序的顺序执行了清洁方法时,优选以使压力P3<压力P2<压力P1的关系成立的方式调整在第三工序中调整的第三压力P3。根据本清洁方法,能够一边供给清洁气体,一边调整为与作为清洁对象的配件的尺寸对应的压力,形成与作为清洁对象的配件相符的尺寸的清洁气体的等离子体,并通过改变等离子体密度来有效地清洁各配件。
优选的是,第三工序的清洁时间比第一工序的清洁时间长,第一工序的清洁时间比第二工序的清洁时间长。优选将第一工序、第二工序以及第三工序重复进行根据沉积物的厚度预先决定的次数。通过重复进行从局部清洁到整体清洁的多个工序,能够更有效地进行清洁。在这种情况下,也可以根据沉积物的厚度来变更重复次数。在清洁期间,也可以是以光学方式测定清洁状况(例如以光学方式测定沉积物的厚度),由此探测清洁的终点来决定重复次数。
也可以是,伴随工序的进展而使压力上升。但是,在局部地对各配件进行清洁后使压力下降来对整体进行清洁,因此容易使针对各配件的局部清洁的状态可视化,且容易对清洁的重复次数等进行控制,因此更为优选。
[清洁处理]
接着,参照图5来说明一个实施方式所涉及的清洁处理的一例。本处理由控制部3进行控制,并且由微波等离子体处理装置100执行。
当使本处理开始时,控制部3从气体孔60向处理容器1内供给从气体供给源22输出的清洁气体(步骤S10)。另外,控制部3从微波辐射部50的电介质窗123、133向处理容器1内辐射从微波输出部30输出的微波的功率(步骤S10)。
接着,控制部3基于ROM 5或RAM 6中存储的相关数据,调整为与电介质窗123、133的尺寸(直径)对应的第一压力来执行清洁(步骤S12)。由此,例如能够生成图3所示的等离子体区域C的等离子体,局部地将沉积于电介质窗123、133的面、其外缘的凹凸等的沉积物去除。步骤S12的工序是调整为与清洁对象中的第一配件的尺寸对应的第一压力来进行清洁的第一工序的一例。
接着,控制部3基于相关数据调整为与窗部61的尺寸(直径)对应的、比第一压力小的第二压力来执行清洁(步骤S14)。由此,例如能够生成图3所示的等离子体区域B的等离子体,局部地将沉积于窗部61的内表面、其外缘的凹凸等的沉积物去除。步骤S14的工序是调整为与所述第一压力不同的第二压力来进行清洁的第二工序的一例,第二压力是与清洁对象中的第二配件的尺寸对应的压力。
此外,也可以在是,步骤S12与步骤S14之间,调整为与圆环状地配置的气体孔60的尺寸对应的、比第一压力小且比第二压力大的压力来执行清洁。这种情况下,例如能够生成图3所示的等离子体区域B’的等离子体,局部地将沉积于圆环状配置的气体孔60及其内部的沉积物去除。
接着,控制部3基于相关数据调整为与处理容器1的壁的尺寸(直径)对应的、比第二压力小的第三压力来执行清洁(步骤S16)。由此,例如能够生成图3所示的等离子体区域A的等离子体,整体地将沉积于处理容器1的顶面及侧面的沉积物去除。步骤S16的工序是调整为与所述第一压力及所述第二压力不同的第三压力来进行清洁的第三工序的一例,第三压力是与清洁对象中的第三配件的尺寸对应的压力。
以下示出步骤S12、S14、S16中的清洁条件。
<清洁条件>
·步骤S12
压力 100Pa
微波功率 3500W(=500W×7(微波辐射部的数量))
清洁时间 150(s)
气体种类 NF3/Ar
气体流量 1000/550(sccm)
·步骤S14
压力 60Pa
微波功率 3500W(=500W×7)
清洁时间 50(s)
气体种类 NF3/Ar
气体流量 1000/550(sccm)
·步骤S16
压力 10Pa
微波功率 3500W(=500W×7)
清洁时间 250(s)
气体种类 NF3/Ar
气体流量 350/550(sccm)
在步骤S12、S14、S16的工序中,使用NF3气体与作为预涂膜形成的SiN的沉积物进行反应,并回收SiF气体,由此去除沉积物。此外,当NF3气体和Ar气体的流量多时,等离子体密度变高,等离子体容易点火。因此,也可以是,在步骤S12中增加流量来使等离子体容易点火,在步骤S14和步骤S16中减少流量。
接着,控制部3判定是否将步骤S12~S16重复了预先决定的次数(步骤S18)。当判定为没有重复预先决定的次数时,返回步骤S12,重复S12~S16的处理。例如,可以根据沉积物的厚度将重复次数设为2、3次或2、3次以上。
另一方面,当在步骤S18中判定为重复了预先决定的次数时,进入步骤S20,以预先决定的压力形成预涂膜(步骤S20)。
通过步骤S12~S16的处理对处理容器1的壁面、窗部61、电介质窗123、133等配件分别有效地进行了清洁。因此,能够在处理容器1的顶面的整体、凹凸以及侧壁没有沉积物沉积的状态下形成预涂膜。在进行预涂膜的成膜后,将晶圆W搬入处理容器1内来进行规定的膜的成膜(步骤S22),结束本处理。
根据本清洁处理,在步骤S12中,以高压生成等离子体密度较高且区域较小的等离子体,瞄准电介质窗123、133的面、其外缘的凹凸等比较狭小的空间对间隙进行清洁,来去除电介质窗123、133的表面的厚的膜。接着,在步骤S14中,以比步骤S12的压力低的中压生成中等程度的等离子体密度且中等大小的区域的等离子体,来对窗部61的内部进行清洁。最后,在步骤S16中,以比步骤S14的压力更低的压力生成等离子体密度更低且区域更大的等离子体,来整体地对主体部10的顶面、处理容器的侧面进行清洁。由此,从具有凹凸多的构造的顶面的狭小的部位的沉积物开始局部地进行清洁,经过中等大小的区域的清洁后对整体进行清洁,由此,无论是在局部厚厚地沉积的沉积物还是在整体薄薄地附着的沉积物,都能够有效地去除。由此,能够改善在清洁工序后预涂的预涂膜的密合性等涂覆状态。其结果,能够避免在形成预涂膜后进行的成膜时预涂膜从处理容器1的壁剥落到晶圆W上而变为微粒。
特别地,微波等离子体处理装置100具有将七个微波辐射部50配置于主体部10的构造,顶面凹凸变多,因此难以对细微部分的凹处等进行清洁。然而,根据本清洁方法,改变压力来在与成为目标的作为清洁对象的配件的尺寸相应的区域生成等离子体。由此,对于细微部分的凹处,生成小区域且等离子体密度高的等离子体来局部地进行清洁,对于顶面和侧壁整体,生成大区域且等离子体密度低的等离子体来整体地进行清洁,由此能够进行有效的清洁。
此外,不仅是多个微波辐射部50,即使在将一个微波辐射部50配置于主体部10的情况下也是,根据本清洁方法,通过改变压力来生成与作为清洁对象的一个微波辐射部50及一个窗部61的尺寸相应的等离子体,能够进行有效的清洁。
此外,在上述清洁方法中,按照第一工序、第二工序、第三工序的顺序执行了这三个工序。但是不限于此,也可以按照第一工序、第二工序的顺序执行这两个工序。另外,也可以按照第一工序、第二工序、第三工序、第四工序的顺序执行这四个工序。
例如,以下示出按照顺序执行四个工序时的清洁条件的一例。
<清洁条件>
·第一工序的一例
压力 100Pa
微波功率 3500W(=500W×7)
清洁时间 100(s)
气体种类 NF3/Ar
气体流量 1000/550(sccm)
·第二工序的一例
压力 60Pa
微波功率 3500W(=500W×7)
清洁时间 50(s)
气体种类 NF3/Ar
气体流量 1000/550(sccm)
·第三工序的一例
压力 25Pa
微波功率 3500W(=500W×7)
清洁时间 200(s)
气体种类 NF3/Ar
气体流量 400/550(sccm)
·第四工序的一例
压力 10Pa
微波功率 3500W(=500W×7)
清洁时间 150(s)
气体种类 NF3/Ar
气体流量 250/550(sccm)
[实验结果]
图6是示出图5所示的一个实施方式所涉及的清洁方法的结果的一例的图。S是表示比较例中的清洁的结果。比较例的清洁条件为以下条件。在比较例中也是,与本实施方式同样地对图1的微波等离子体处理装置100的处理容器1内进行了清洁。
<比较例的清洁条件>
压力 10Pa
微波功率 3500W(=500W×7)
清洁时间 300(s)
气体种类 NF3/Ar
气体流量 400/1200(sccm)
在比较例中,将压力控制为固定,将清洁重复六次,也就是说,进行了1800s(=300×6)的清洁。将作为其结果的微粒数量表示为图6的比较例的曲线S。
另一方面,在本实施方式的一个方式中,将按照第一工序、第二工序、第三工序的顺序执行这三个工序的清洁重复进行了三次。将作为其结果的微粒数量表示为图6的本实施方式(三个工序)的曲线T。
另外,在本实施方式另一个方式中,将按照第一工序、第二工序、第三工序、第四工序的顺序执行这四个工序的清洁重复进行了三次。将作为其结果的微粒数量表示为图6的本实施方式(四个工序)的曲线U。
在图6的曲线图中,横轴表示晶圆数量,纵轴表示微粒数量。关于使用了比较例、本实施方式(三个工序)、本实施方式(四个工序)的清洁方法的情况,示出了在对第1张到第25张晶圆W连续地进行成膜时产生的微粒数量中的、从第1张到第10张产生的微粒数量的实验结果的一例。由此,在表示本实施方式所涉及的两个种类的清洁方法的曲线T和曲线U中能够确认出,与比较例的曲线S相比,从第1张晶圆W起,第2、3张晶圆W的处理初期的微粒明显减少。
根据以上说明,证明了根据本实施方式所涉及的清洁方法能够有效地对处理容器1内进行清洁。在以上说明中,在按照第一工序、第二工序、第三工序的顺序执行这三个工序的清洁方法以及按照第一工序、第二工序、第三工序、第四工序的顺序执行这四个工序的清洁方法中,按每个工序依序调整压力以使压力下降。
然而,压力的调整方法不限于此,只要根据作为清洁对象的配件的尺寸来调整压力即可。
[变形例]
下面,参照图7来说明一个实施方式的变形例所涉及的清洁处理的一例。图7是示出一个实施方式的变形例所涉及的清洁处理的一例的流程图。
当使本处理开始时,控制部3参照在图4中示出一例的相关曲线图,来决定与处理容器1内的作为清洁对象的配件的尺寸对应的压力(步骤S30)。但是,关于与作为清洁对象的配件1尺寸对应的压力,也可以从RAM 6等存储部获取预先执行并决定的值。
接着,控制部3从气体孔60向处理容器1内供给从气体供给源22输出的清洁气体,从微波辐射部50向处理容器1内辐射微波(步骤S32)。
接着,控制部3进行调整以使处理容器1内成为所决定的压力,并执行清洁(步骤S34)。由此,能够生成与作为清洁对象的配件的尺寸对应的等离子体区域的等离子体,有效地将沉积于清洁对象的配件的沉积物去除。
接着,控制部3判定是否对所有的作为清洁对象的配件进行了清洁(步骤S36)。当判定为没有对所有的作为清洁对象的配件进行清洁时,返回步骤S30,控制部3重复执行步骤S30~S36的处理,直到对所有的作为清洁对象的配件进行清洁为止。
控制部3当判定为对所有的作为清洁对象的配件进行了清洁时,以预先决定的压力形成预涂膜(步骤S40)。预涂膜是SiN、SiO2等含硅膜。
在一个实施方式的变形例所涉及的清洁方法中,在步骤S30~S34的处理中,通过与处理容器1的作为清洁对象物的各配件的尺寸相符的等离子体区域的等离子体,有效地对处理容器1的作为清洁对象物的各配件进行了清洁。因此,能够改善预涂膜的涂覆状态。通过在这种状态下在晶圆W上进行规定的膜的成膜(步骤S42),在步骤S42的成膜时能够避免预涂膜从处理容器1的壁剥落到晶圆W上而成为微粒。
如以上说明的那样,根据本实施方式的微波等离子体处理装置100的清洁方法,一边供给清洁气体,一边将处理容器1内调整为与作为清洁对象的配件的尺寸对应的压力。而且,通过清洁气体的等离子体来进行清洁。由此,通过控制处理容器1内的压力,能够进行局部清洁、中等范围清洁以及整体清洁,并且从细微部分的凹部的沉积物到整体的沉积物都能够有效地进行清洁。
应该认为本次公开的一个实施方式所涉及的清洁方法在所有方面均为例示,而非限制性的。上述的实施方式在不脱离所附的权利要求书及其主旨的情况下能够以各种方式进行变形和改进。上述多个实施方式所记载的事项在不矛盾的范围内也能够采取其它结构,另外,在不矛盾的范围内能够进行组合。
在本说明书中,作为基板的一例,列举晶圆W来进行了说明。但是,基板不限于此,也可以是使用于FPD(Flat Panel Display:平板显示器)的各种基板、印刷电路板等。此外,关于清洁气体,可使用NF3、ClF3等卤素气体。
本国际申请主张以2018年6月11日申请的日本专利申请2018-111429号为基础的优先权,将其全部内容引用到本国际申请中。
附图标记说明
1:处理容器;2:微波等离子体源;3:控制部;10:主体部;11:载置台;14:高频偏置电源;22:气体供给源;30:微波输出部;40:微波传输部;43a:周缘微波导入部;43b:中央微波导入部;44:微波传输路径;50:微波辐射部;52:外侧导体;53:内侧导体;54:插芯;60:气体孔;61:窗部;100:微波等离子体处理装置;123、133:电介质窗。

Claims (13)

1.一种清洁方法,是微波等离子体处理装置的清洁方法,所述微波等离子体处理装置具有处理容器和微波辐射部,在所述处理容器的配置所述微波辐射部的位置形成有窗部,
所述清洁方法包括清洁工序,在该清洁工序中,一边供给清洁气体,一边调整为与包括所述处理容器的壁面、所述微波辐射部以及所述窗部的所述处理容器内的配件中的作为清洁对象的配件的尺寸对应的压力,并通过清洁气体的等离子体来进行清洁。
2.根据权利要求1所述的清洁方法,其特征在于,所述清洁工序包括:
第一工序,调整为与所述清洁对象中的第一配件的尺寸对应的第一压力来进行清洁;以及
第二工序,调整为与所述第一压力不同的第二压力来进行清洁,所述第二压力是与所述清洁对象中的不同于所述第一配件的第二配件的尺寸对应的压力。
3.根据权利要求2所述的清洁方法,其特征在于,
按照所述第一工序、所述第二工序的顺序进行清洁,
所述第二压力低于所述第一压力。
4.根据权利要求3所述的清洁方法,其特征在于,
所述第一工序的清洁时间比所述第二工序的清洁时间长。
5.根据权利要求2~4中的任一项所述的清洁方法,其特征在于,
将所述第一工序和所述第二工序重复预先决定的次数。
6.根据权利要求2~5中的任一项所述的清洁方法,其特征在于,
所述清洁工序包括第三工序,在该第三工序中,调整为与所述第一压力及所述第二压力不同的第三压力来进行清洁,所述第三压力是与所述清洁对象中的不同于所述第一配件及所述第二配件的第三配件的尺寸对应的压力。
7.根据权利要求6所述的清洁方法,其特征在于,
按照所述第一工序、所述第二工序、所述第三工序的顺序进行清洁,
所述第三压力低于所述第二压力,所述第二压力低于所述第一压力。
8.根据权利要求6或7所述的清洁方法,其特征在于,
所述第三工序的清洁时间比所述第一工序的清洁时间长,
所述第一工序的清洁时间比所述第二工序的清洁时间长。
9.根据权利要求6~8中的任一项所述的清洁方法,其特征在于,
将所述第一工序、所述第二工序以及所述第三工序重复预先决定的次数。
10.根据权利要求1~9中的任一项所述的清洁方法,其特征在于,
将与所述作为清洁对象的配件对应的压力调整为同与所述配件的尺寸对应的等离子体的扩散距离相应的压力。
11.根据权利要求10中的任一项所述的清洁方法,其特征在于,
与所述作为清洁对象的配件对应的压力在10Pa~100Pa的范围内。
12.根据权利要求1~11中的任一项所述的清洁方法,其特征在于,
在所述清洁工序之后形成预涂膜。
13.根据权利要求1~12中的任一项所述的清洁方法,其特征在于,
所述处理容器内的配件包括处于所述窗部内且在比所述微波辐射部更靠外侧的位置圆环状地配置的多个气体孔。
CN201980037752.6A 2018-06-11 2019-05-30 清洁方法 Pending CN112272862A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2018111429A JP7023188B2 (ja) 2018-06-11 2018-06-11 クリーニング方法
JP2018-111429 2018-06-11
PCT/JP2019/021541 WO2019239912A1 (ja) 2018-06-11 2019-05-30 クリーニング方法

Publications (1)

Publication Number Publication Date
CN112272862A true CN112272862A (zh) 2021-01-26

Family

ID=68843285

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980037752.6A Pending CN112272862A (zh) 2018-06-11 2019-05-30 清洁方法

Country Status (5)

Country Link
US (1) US11488813B2 (zh)
JP (1) JP7023188B2 (zh)
KR (1) KR20210014690A (zh)
CN (1) CN112272862A (zh)
WO (1) WO2019239912A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7221115B2 (ja) * 2019-04-03 2023-02-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP7493362B2 (ja) 2020-03-25 2024-05-31 東京エレクトロン株式会社 基板の処理方法及び基板処理装置
JP2022151131A (ja) * 2021-03-26 2022-10-07 東京エレクトロン株式会社 クリーニング方法及びプラズマ処理装置
JP2023033720A (ja) 2021-08-30 2023-03-13 東京エレクトロン株式会社 成膜方法および成膜装置
JP2023033723A (ja) 2021-08-30 2023-03-13 東京エレクトロン株式会社 成膜方法および成膜装置
CN113954268B (zh) * 2021-10-28 2023-09-12 益路恒丰衡水沥青科技有限公司 胶粉微波脱硫设备的介质片清洗系统

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040238820A1 (en) * 1999-06-02 2004-12-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a method of manufacturing the same
JP2007048718A (ja) * 2005-08-12 2007-02-22 Tohoku Univ プラズマ処理装置
KR20080034079A (ko) * 2006-10-13 2008-04-18 동경 엘렉트론 주식회사 플라즈마 처리 장치와 그 운전 방법, 플라즈마 처리 방법및 전자 장치의 제조 방법
JP2009057604A (ja) * 2007-08-31 2009-03-19 Canon Anelva Corp 成膜装置、成膜システム及び成膜装置のクリーニング方法
WO2011040537A1 (ja) * 2009-10-01 2011-04-07 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
WO2013092770A1 (en) * 2011-12-22 2013-06-27 Solvay Sa Method for removing deposits performed with varying parameters
US20140299152A1 (en) * 2011-11-08 2014-10-09 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
JP2016225567A (ja) * 2015-06-03 2016-12-28 東京エレクトロン株式会社 クリーニング方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6079426A (en) * 1997-07-02 2000-06-27 Applied Materials, Inc. Method and apparatus for determining the endpoint in a plasma cleaning process
US6491042B1 (en) * 1998-12-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Post etching treatment process for high density oxide etcher
US7887637B2 (en) * 2004-02-19 2011-02-15 Tokyo Electron Limited Method for cleaning treatment chamber in substrate treating apparatus and method for detecting endpoint of cleaning
EP2049228A1 (en) * 2006-08-11 2009-04-22 ETeCH AG Nanoparticle filter apparatus for ambient air
JP4905179B2 (ja) 2007-02-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置及びそのクリーニング方法
US8227344B2 (en) * 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
BR112013017622A2 (pt) * 2011-03-01 2016-10-11 Exxonmobil Upstream Res Co aparelho e sistema que tem uma montagem de válvula giratória e processos de absorção de oscilação relacionados à mesma
US9034078B2 (en) * 2012-09-05 2015-05-19 Exxonmobil Upstream Research Company Apparatus and systems having an adsorbent contactor and swing adsorption processes related thereto
JP6026351B2 (ja) 2013-04-26 2016-11-16 東京エレクトロン株式会社 成膜装置のクリーニング方法および成膜装置
JP5897617B2 (ja) * 2014-01-31 2016-03-30 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040238820A1 (en) * 1999-06-02 2004-12-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and a method of manufacturing the same
JP2007048718A (ja) * 2005-08-12 2007-02-22 Tohoku Univ プラズマ処理装置
KR20080034079A (ko) * 2006-10-13 2008-04-18 동경 엘렉트론 주식회사 플라즈마 처리 장치와 그 운전 방법, 플라즈마 처리 방법및 전자 장치의 제조 방법
JP2009057604A (ja) * 2007-08-31 2009-03-19 Canon Anelva Corp 成膜装置、成膜システム及び成膜装置のクリーニング方法
WO2011040537A1 (ja) * 2009-10-01 2011-04-07 東京エレクトロン株式会社 プラズマ処理方法およびプラズマ処理装置
US20140299152A1 (en) * 2011-11-08 2014-10-09 Tokyo Electron Limited Plasma processing method and plasma processing apparatus
WO2013092770A1 (en) * 2011-12-22 2013-06-27 Solvay Sa Method for removing deposits performed with varying parameters
JP2016225567A (ja) * 2015-06-03 2016-12-28 東京エレクトロン株式会社 クリーニング方法

Also Published As

Publication number Publication date
JP7023188B2 (ja) 2022-02-21
US11488813B2 (en) 2022-11-01
US20210249240A1 (en) 2021-08-12
KR20210014690A (ko) 2021-02-09
JP2019216150A (ja) 2019-12-19
WO2019239912A1 (ja) 2019-12-19

Similar Documents

Publication Publication Date Title
CN112272862A (zh) 清洁方法
US10340123B2 (en) Multi-frequency power modulation for etching high aspect ratio features
KR101475546B1 (ko) 플라즈마 에칭 방법, 플라즈마 에칭 장치 및 기억 매체
TWI502619B (zh) 用於電漿處理設備之電極、電漿處理設備、以及使用電漿處理設備產生電漿的方法
KR20150128582A (ko) 에칭 방법
TWI651753B (zh) 用以蝕刻高深寬比特徵部之功率調變的方法
KR102070502B1 (ko) 플라즈마 처리 장치
US20170087602A1 (en) Method and apparatus for treating substrate
WO2021033612A1 (ja) クリーニング方法及びマイクロ波プラズマ処理装置
KR20180124773A (ko) 플라즈마 처리 장치의 세정 방법
US20200168468A1 (en) Etching method and substrate processing apparatus
US10381238B2 (en) Process for performing self-limited etching of organic materials
JP2007273773A (ja) プラズマ処理装置およびプラズマ処理装置のクリーニング方法
WO2021024823A1 (ja) プラズマ処理装置
KR102471811B1 (ko) 처리 장치 및 매립 방법
JP2005159049A (ja) プラズマ成膜方法
WO2020226110A1 (ja) プリコート方法及びマイクロ波プラズマ処理装置
WO2023223860A1 (ja) 改質方法及び改質装置
JP7061981B2 (ja) プラズマエッチング装置およびプラズマエッチング方法
US20240170267A1 (en) Cleaning method and plasma processing apparatus
WO2021033579A1 (ja) 処理装置および成膜方法
JP2023067443A (ja) プラズマ処理方法及びプラズマ処理装置
KR20230115456A (ko) 기판 처리 장치 및 이를 이용한 반도체 장치 제조 방법
JPH1197198A (ja) プラズマ処理装置及びその方法
TW200809963A (en) Plasma processing method and equipment

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20210126