CN111316412A - 功能性微电子装置的产出的提高 - Google Patents

功能性微电子装置的产出的提高 Download PDF

Info

Publication number
CN111316412A
CN111316412A CN201880070921.1A CN201880070921A CN111316412A CN 111316412 A CN111316412 A CN 111316412A CN 201880070921 A CN201880070921 A CN 201880070921A CN 111316412 A CN111316412 A CN 111316412A
Authority
CN
China
Prior art keywords
semiconductor
wafer
manufacturing
semiconductor wafer
metrology data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880070921.1A
Other languages
English (en)
Inventor
卡洛斯·丰塞卡
纳森·伊普
乔尔·埃斯特雷拉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN111316412A publication Critical patent/CN111316412A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/282Testing of electronic circuits specially adapted for particular applications not provided for elsewhere
    • G01R31/2831Testing of materials or semi-finished products, e.g. semiconductor wafers or substrates
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R1/00Details of instruments or arrangements of the types included in groups G01R5/00 - G01R13/00 and G01R31/00
    • G01R1/02General constructional details
    • G01R1/025General constructional details concerning dedicated user interfaces, e.g. GUI, or dedicated keyboards
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Theoretical Computer Science (AREA)
  • Human Computer Interaction (AREA)
  • Automation & Control Theory (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Drying Of Semiconductors (AREA)
  • General Factory Administration (AREA)

Abstract

本文描述了与半导体制造过程有关的技术,该技术促进了制造的半导体晶圆的图案的系统合格度的提高。具有最大化的系统合格度的图案的半导体晶圆将使形成为制造的半导体晶圆的一部分的电子装置的电气性质和/或功能最大化。在理解摘要将不用于解释或限定权利要求的范围或含义的情况下提交了本摘要。

Description

功能性微电子装置的产出的提高
相关申请
本申请要求于2017年11月3日提交的题为“Enhancement of Yield ofFunctional Microelectronic Devices”的美国临时专利申请第62/581,535号的权益,该美国临时专利申请通过引用整体合并入本文。此外,本申请要求于2018年10月31日提交的题为“Active Process Modeling”的美国临时专利申请第62/753,153号的权益,该美国临时专利申请通过引用整体合并入本文。此外,本申请要求于2018年10月31日提交的题为“Transfer Function and Process Modeling for The Fabrication OfMicroelectronic Devices”的美国临时专利申请第62/753,155号的权益,该美国临时专利申请通过引用整体合并入本文。
背景技术
微电子装置是微米或更小尺度的个体电子装置及部件或其集合。个体微电子装置可以包括晶体管、电容器、电感器、电阻器、二极管、绝缘体、导体等。其他装置可以包括电路以及个体装置的某种组合。集成电路(IC)是这样的装置的这样的示例,其有时被称为微芯片等。
由于其尺寸小,因此在微电子装置的制造中使用复杂的技术。一种这样的技术涉及半导体晶圆的制造。通常,微电子装置被制造为材料的图案化层的堆叠的一部分,以形成半导体晶圆。
随着微电子装置的尺寸减小并且其复杂性增加,使由半导体制造产生的机电功能微电子装置的产出最大化变得越来越困难。解决这些问题的现有方法正变得不太有效。
发明内容
与半导体制造过程协同,本文描述的技术促进半导体晶圆的图案的系统合格度的提高。具有很少的或没有系统不合格部分的半导体晶圆将使形成为制造的半导体晶圆的一部分的电子装置的机电性质和/或功能最大化。
与制造过程协同,本文描述的技术使用半导体晶圆的制造计量数据来确定不合格区域中的不合格部分对在其中形成的微电子装置的机电功能的系统性影响。通过该确定,可以对被确定为对形成为半导体晶圆的一部分的微电子装置的机电功能具有足够的系统性影响的不合格部分执行改善。可以通过一个半导体制造工具或多个工具的组合来执行该改善。
附图说明
图1是示出典型的半导体制造过程的示例的框图。
图2是示出根据本公开内容的示例系统的框图。
图3是示出根据本公开内容的示例方法的流程图。
图4A和图4B示出了具有不合格部分的区域的晶圆的表面的可视化。可视化表示根据本公开内容产生的那些可视化。
具体实施方式参照附图。在图中,附图标记的最左边的一个或多个数字标识该附图标记第一次出现的图。贯穿附图使用相同的附图标记来引用相似的特征和部件。
具体实施方式
与半导体制造过程协同,本文描述的技术促进半导体晶圆的图案的系统合格度的提高。具有有限的系统不合格部分的半导体晶圆将使形成为制造的半导体晶圆的一部分的电子装置的机电性质和/或功能最大化。
半导体晶圆的制造可以被描述为由半导体晶圆的图案半导体材料的层的累积形成微电子装置的集合。层也可以被描述为材料的图案的堆叠。形成的微电子装置被设计成当以其预期方式操作时具有电气功能和机械功能。
与制造过程协同,本文描述的技术操作以检测和改善制造的晶圆的系统不合格部分。如本文所描述的,其示例包括收集半导体晶圆的制造计量数据。即,制造计量数据包括在制造晶圆时来自晶圆或关于晶圆的测量结果。基于该收集的制造计量数据来检测半导体晶圆的不合格部分。生成并显示所收集的半导体晶圆的制造计量数据的层(或多个层)的可视化。识别至少一个不合格区域。不合格区域是相邻的不合格部分的聚集。
然后,利用本文描述的技术,确定不合格区域中的不合格部分对正被形成的微电子装置的机电功能的系统性影响。通过该确定,对被确定为对形成为半导体晶圆的一部分的微电子装置的机电功能具有足够的系统性影响的不合格区域中的不合格部分执行改善。可以通过一个半导体制造工具或多个工具的组合来执行该改善。
半导体制造示例
图1示出了典型的半导体制造100的示例。在制造本身之前,产生半导体晶圆和在其中形成的微电子装置的整体设计95。根据设计产生布局。布局包括一组图案,这些图案将被转移至在其制造期间形成半导体晶圆的材料的堆叠层。因为设计95影响并告知制造的各个部分,所以用粗箭头将其描绘为整体指向制造而不是其特定部分。
示例制造100包括沉积110、光刻130、蚀刻150、清洁160和制造计量数据170。光刻130被轨道120和140包围(bracket)。
如所描绘的,示例制造100表示半导体晶圆的单个层的制造。箭头170指示晶圆制造涉及图案的多个堆叠层。虽然本文以特定顺序描述了单个层的制造,但是在单个层的制造期间跳过某些部分并重复其他部分的情况并不少见。
沉积110采用沉积工具,该沉积工具将材料生长、涂覆或以其他方式转移至晶圆上。沉积工具可以采用一种或更多种技术来完成该任务。沉积技术的示例包括物理气相沉积(PVD)、化学气相沉积(CVD)、电化学沉积(ECD)、分子束外延(MBE)、原子层沉积(ALD)等。
光刻130采用光刻工具,该光刻工具用于将图案从光掩模转移至晶圆(即,衬底)的表面。图案信息被记录在施加在衬底上的光致抗蚀剂的层上。光致抗蚀剂在被暴露于光(通常是紫外线)或其他照明源(例如X射线)时会改变其物理性质。光致抗蚀剂通过(湿式或干式)蚀刻或通过自身曝光而转换成挥发性化合物来进行显影。取决于抗蚀剂的类型是正性还是负性,可以在显影后去除或保留由掩模限定的图案。例如,经显影的光致抗蚀剂可以用作下层的蚀刻掩模。
轨道120通常具有轨道工具,该轨道工具准备用于光刻的晶圆/衬底。这可能涉及清洁晶圆/衬底或在其上添加涂层或膜。类似地,轨道140具有在光刻130之后处理晶圆/衬底的工具。通常,这涉及光刻后清洁或针对制造的下一步骤进行准备。
蚀刻150包括蚀刻工具,该蚀刻工具用于选择性地去除和/或在晶圆(即衬底)的表面上添加材料以便在其上产生图案。通常通过湿式(即化学)或干式(即物理)蚀刻选择性地去除材料。干式蚀刻的示例是等离子蚀刻。
等离子蚀刻涉及在样品处喷射适当气体混合物的高速辉光放电(等离子)流。被称为蚀刻物质的等离子体源可以带电(离子)或中性(原子和自由基)。在该过程期间,等离子体在室温下由被蚀刻材料的元素与由等离子体生成的活性物质之间的化学反应来生成挥发性蚀刻产物。最终,所喷射的元素的原子自身被嵌入目标表面处或目标表面紧下方,从而修改目标的物理性质。
清洁160包括清洁工具,该清洁工具用于清洁晶圆/衬底(例如,去除光致抗蚀剂)和/或准备晶圆/衬底以用于下一层的施加。通常,清洁工具去除晶圆上的颗粒和杂质。
制造计量数据170包括至少一个制造计量数据工具(例如,传感器),该制造计量数据工具被设计用于测量晶圆制造过程本身的某些方面、制造工具的操作或关于晶圆、衬底、施加在其上的图案等的可测量项。虽然这在图1中示出,但是该工具可以在过程中的任何地方采用,并且每个工具可以在多个阶段采用。
用于功能性微电子装置的产出的提高的示例系统
图2示出了根据本文描述的技术的提高由半导体制造生产的功能性微电子装置的产出的示例系统200。换句话说,该图示出了根据本文描述的技术的促进在半导体制造期间对系统不合格部分的检测和改善的示例系统200。示例系统200包括以上描述并在图1中示出的半导体制造100。
如所描绘的,示例系统200包括半导体制造100的工具、系统合格度提高工具210和多工具高级过程控制(APC)工具220。半导体制造100包括执行沉积110、光刻130、蚀刻150、清洁160和制造计量数据170的工具。用于光刻130的工具由用于轨道120和140的工具包围。
本文描述的技术可以被结合到示例系统200的仅一个部件/部分中,或者示例系统200的多个部件/部分中。也就是说,这里描述的技术可以例如被结合到仅一个工具(例如蚀刻150)中。可替选地,例如,本文描述的技术可以由多个工具和系统来实现。例如,本文描述的技术可以由沉积110、清洁160和系统合格度提高工具210来实现。此外,示例系统200可以采用本文描述的技术来执行以下描述的方法300。
系统合格度提高工具210是专门设计用于协调数据收集和分析与制造100或制造的某个部分的系统。实际上,在一些实现方式中,系统合格度提高工具210执行下面描述的示例过程300的大部分。工具210本身可以由操作一组专门设计的计算机程序的一个或更多个计算装置构成。
多工具APC工具220是特别设计的APC,其用于引导多个工具的动作以便改善(例如校正)不合格部分。在其他实现方式中,APC工具220可以控制仅一个工具。APC工具220通常由一个或更多个具有在其上运行的专门设计的程序的计算系统来实现。
APC是使用逐次运行、晶圆间、晶圆内以及实时过程控制来提高制造过程的性能、产出、生产率和灵活性的部件。通常,APC系统是基于模型的多变量APC系统,其结合前馈和反馈机制进行显影,以基于输入晶圆和工具状态性质两者自动确定针对每个晶圆的最佳配方。典型的APC系统使用晶圆制造计量数据、过程模型和复杂的控制算法来对中间过程目标提供动态微调,这提高了最终装置目标。APC系统的设计使得使用相似的构造块、概念和算法实现了在单个腔室、过程工具、多工具、过程模块与多过程模块之间的可扩展控制解决方案。
示例过程
图3是示出实现本文描述的技术的示例过程300的流程图。示例过程300至少部分地由示例系统200执行,并且其促进在半导体制造期间图案的系统合格度的提高。
示例过程300与半导体晶圆的制造305协同地执行。在某些情况下,协同可以包括示例过程300是制造本身的组成部分。
与制造协同地,示例系统200由半导体晶圆的材料(即,层)的图案的堆叠形成微电子装置的集合。形成的微电子装置被设计成当以其预期方式操作时具有电气功能和/或机械功能。
在框310处,示例系统200收集半导体晶圆的制造计量数据。该制造数据是由在制造过程中或刚完成其制造时的关于晶圆、在晶圆上、在晶圆中以及针对晶圆进行的测量而产生的。即,制造计量数据是在半导体制造中形成的晶圆的特性的测量结果。
制造计量数据包括在半导体制造中形成的一个或更多个晶圆的一个或更多个特性的测量结果,并且每个测量结果与晶圆的进行这样的测量的空间位置相关联。
例如,制造计量数据可以从关于活性层(active layer)、该活性层中的图案、由活性层完成的装置、由活性层暴露的早期装置等的测量结果中得到。在本文中,活性层是此时作为制造的关注点的层。通常,活性层是顶层或最上层。例如,活性层是被沉积或刚被沉积、被清洁或被蚀刻的层。
例如,在一些情况下,制造计量数据可以从关于紧邻活性层的层、该相邻层中的图案、由该相邻层完成的装置、由该相邻层暴露的早期装置等的测量结果中得到。通常,该紧邻层是活性层或最上层紧下面的层。
例如,在其他情况下,制造计量数据可以从关于晶圆或晶圆本身的多个相邻层的测量结果中得到。例如,晶圆的多个相邻层可以包括在其中的电气地和/或机械地相互作用的微电子装置。
制造计量数据通常包括来自在半导体制造期间使用材料的图案的共同堆叠的多个半导体晶圆的测量结果(基于测量结果的计算结果)。制造计量数据的示例包括测量和/或计算数据,诸如测量和/或计算制造计量数据,该制造计量数据选自:边缘放置误差(EPE);栅格临界尺寸(CD)测量结果;块线宽粗糙度(LWR)测量结果;栅格LWR测量结果;块CD测量结果;轮廓;截面;选择性沉积;形成的微电子装置的电气性质;接触孔CD;接触孔粗糙度;CER和椭圆率;短沟槽尖端间距离;线尖端间距离;层间位移数据;叠加数据;膜厚度和均匀性;在单个工具的动作之后产生的测量结果;在单个层的所有工具之后产生的测量结果;在多个层之后产生的测量结果;及其组合。
如本文所使用的,边缘放置误差(EPE)是例如实际装置特征边缘位置与预期(目标)特征边缘位置之间的误差量的度量。栅格临界尺寸(CD)测量结果是例如从一个特征边缘至另一特征边缘的距离,该距离是通过扫描电子显微镜(SEM)图像或其他计量技术诸如AFM(原子力显微镜)、散射测量/基于衍射的计量等确定的。块线宽粗糙度(LWR)测量结果是例如相对于平均边缘位置的沿着特征的边缘的偏差量的度量。在这种情况下,“块”特征是相邻特征的分组。
在框312处,示例系统200基于所收集的制造计量数据来检测半导体晶圆的不合格部分。不合格部分是具有能够被测量和/或这样的测量结果落在限定范围和/或阈值之外的特性的活性层的区域。
可以测量的项目取决于给定的工艺流程(即,装置层的制造工艺流程)。例如,可以专注于多图案化工艺流程,诸如自对准四重图案化(SAQP)和块图案化方案。对于此方案,存在可以使用计量工具测量的晶圆级的若干个几何形状。其示例包括线图案、沟槽图案、叠加和从上述度量的组合得到的其他度量。线和沟槽的典型尺寸约为10纳米至30纳米,对于叠加数据约为1纳米至数十纳米。
在框314处,示例系统200生成收集的半导体晶圆的制造计量数据的可视化。可视化包括产生具有特定的颜色和/或阴影的活性层的图像,特定的颜色和/或阴影对应于晶圆的与测量和/或计算的制造计量数据的范围和/或与不合格区域相关联的特定位置。
在一些实现方式中,框314的操作可以被描述为基于所收集的半导体晶圆的制造计量数据来生成半导体晶圆的模型,并且基于所生成的模型、基于收集的制造计量数据来检测半导体晶圆的不合格部分。
图4A示出了彩色圆形图像410,其是所收集的晶圆的活性层(例如,顶层)的制造计量数据的代表性可视化。在示例图像410中,圆形图像中的每个点与活性层的物理位置对应,并且该点的颜色和/或阴影指示与所对应的物理位置相关联的所收集的制造计量数据的相对值。
在框316处,示例系统200确定是否存在晶圆的活性层的不合格部分的区域。当活性层的区域具有相邻的不合格部分的聚集时,该活性层的区域被指定为不合格区域。不合格区域的识别包括分割包括相邻不合格部分的聚集的晶圆的层的区域。
图4B示出了双色圆形图像420,其是所收集的晶圆的活性层(例如,顶层)的制造计量数据的代表性可视化。实际上,圆形图像420是从彩色圆形图像410得到的。更确切地说,圆形图像420是从与得到彩色圆形图像410的相同的数据集得到的。然而,在此可视化中采用仅两种颜色或阴影。
使用基于阈值或范围的测试以及相邻性的度量,活性层的区域被识别为通过或未通过。可替选地,通过的区域被称为合格区域,未通过的区域被称为不合格区域。在圆形图像420中,区域422是合格区域,但是区域424和426是不合格区域。
在框318处,示例系统200确定不合格区域中的不合格部分对正被形成的微电子装置的功能的系统性影响。通过示例过程300,这包括:估计包括半导体晶圆的不合格区域的活性层的实际图案的机电性质和/或功能。在一些方法中,该确定包括对至少由具有半导体晶圆的不合格区域的活性层形成的微电子装置的机电性质和/或功能的建模。
确定系统性影响可以包括估计具有半导体晶圆的不合格区域的一个层的实际图案的机电性质和/或功能或由具有半导体晶圆的不合格区域的至少一个层形成的微电子装置的机电性质和/或功能。
在框320和框322处,示例系统200改善被确定为对形成为半导体晶圆的一部分的微电子装置的机电功能具有足够的系统性影响的不合格区域中的不合格部分。
如本文所使用的,系统性影响涉及不合格区域中的不合格部分对正被形成的微电子装置的功能的累积有害影响。
在一些实现方式中,可以触发改善的足够的系统性影响是根据正被形成的微电子装置的功能的期望产出或期望产出的提高得到的。
在一些实现方式中,可以触发改善的足够的系统性影响是根据“瑕疵品”的限定阈值得到的,“瑕疵品”是达不到质量标准的微电子装置。例如,瑕疵品包括利用晶圆形成的不起作用或发生故障的微电子装置。瑕疵品阈值可以是绝对数(例如1000)或百分比或比率(例如0.01%或百万分之一)。
在一些实现方式中,可以触发改善的足够的系统性影响可能仅基于位于给定不合格区域、这样的区域的集合、晶圆的一部分(例如,晶圆的30%)或整个晶圆内的瑕疵品。
在一些实现方式中,可以触发改善的足够的系统性影响基于所获得的与期望的产出或结果相关联的制造计量数据(例如,颗粒、CD、叠加、厚度和/或均匀性)。
(除产出以外的)结果可以触发为实现该目标而对制造过程进行某些改变的动作。例如,可能存在晶圆的特定均匀性或平坦度的目标,该晶圆的特定均匀性或平坦度可以通过对制造工程的一个或更多个调整来校正。
在一些实现方式中,可以触发改善的足够的系统性影响基于识别的不合格部分的空间图案和/或识别的与特定制造工具相关的图案。
在一些实现方式中,可以触发改善的足够的系统性影响基于不合格部分。
在一些实现方式中,可以通过对制造过程中的特定步骤的改变来改善EPE。例如,可以通过对例如光刻曝光、蚀刻、膜沉积、旋涂过程期间的烘烤温度、离子注入过程、清洁过程(湿式或干式)或装置制造期间的其它过程步骤进行改变来改善EPE。
例如,可以通过在蚀刻过程期间对稳态温度、静电吸盘(例如整个吸盘或区域)的温度倾斜、气体流动或功率进行改变来调整或修改EPE。
在一些实现方式中,可以通过对多个过程步骤进行改变来改善EPE。例如,除了在蚀刻过程步骤期间关注环温度和电压之外,还可以通过在旋涂过程期间对烘烤温度进行改变来改善EPE。可能存在对一个过程的多个改变或对多个过程的多个改变。
在框320处,该改善包括选择由至少一个半导体制造工具执行的半导体制造中的操作中的一个或更多个改变。也就是说,为了校正不合格部分本身或可能的这样的不合格部分的潜在原因,改变制造过程中的某个有意义的部分。这些选择的改变可以通过一个半导体制造工具或多个工具的组合来执行。
之后,改善涉及对将根据操作中的所选择的改变而制造的半导体晶圆的模拟。以这种方式,示例系统200可能能够确定所选择的改变是否对于减少不合格部分(而不产生新的不合格部分)的近期目标有用。
在模拟之后,改善估计对由模拟的半导体晶圆形成的微电子装置的电气性质和/或功能的影响。尽管短期目标是减少不合格部分,但最终目标是使制造的晶圆中的微电子装置的功能最大化。为此,该估计确定模拟的改变是否达到该最终目标。因此,改善可以重复多次,直到发现最佳的操作改变。
示例系统200可以采用机器学习方法作为改善的一部分。通过这种方法,示例系统200基于给定条件学习哪些操作改变或哪些改变的组合(或工具的组合)最有可能产生(使微电子装置功能最大化的)高效结果。给定条件包括不合格部分的特定类型(或类型的组合)、不合格区域的位置、这样的区域的大小等。
如果最佳操作改变仅涉及一个工具,则示例过程300进行到框320以执行单工具改善。如果最佳操作改变涉及多个工具,则示例过程300进行到框322以执行多工具改善。
在一些实现方式中,改善可以包括选择层的图案,该层包括至少部分地由不合格区域形成的微电子装置的某个部分。然后,该选择的图案被修改。此修改可以自主或半自主(即,通过一些手动干预)执行。这种方法的目标是通过采用不同的设计来消除不合格部分。
利用这种方法,用经修改的图案代替所选择的图案来运行对半导体晶圆的制造的模拟。估计对由模拟的半导体晶圆形成的微电子装置的机电性质和/或功能的影响。该估计有助于确定经修改的图案是否以期望的方式改变了不合格区域。
工具是作用在晶圆本身上的半导体制造过程的主要组成部分之一。这样的工具的示例是图2的示例系统200的一部分。这些示例包括沉积工具、轨道工具、光刻工具、蚀刻工具和清洁工具。
附加及替选实现方式说明
在上述示例性实现方式的描述中,出于说明的目的,阐述了具体的数目、材料配置和其他细节,以便更好地解释要求保护的本发明。然而,对于本领域技术人员明显的是,可以使用与本文描述的示例性细节不同的细节来实践要求保护的本发明。在其他情况下,省略或简化公知特征以阐明示例性实现方式的描述。
发明人意在将所描述的示例性实现方式作为主要示例。发明人并非意在使这些示例性实现方式限制所附权利要求的范围。而是,发明人已经预期到要求保护的本发明也可以其他方式结合其他当前的技术或以后的技术来体现和实现。
例如,术语“技术”可以指由本文描述的上下文所指示的一个或更多个装置、设备、系统、方法、制品和/或计算机可读指令。
如本申请中使用的,术语“或”意指包含性的“或”而非排他性的“或”。也就是说,除非另有指定或根据上下文是清楚的,否则“X采用A或B”意指任何自然的包含性排列。也就是说,如果X采用A、X采用B或者X采用A和B二者,则在任何前述情况下都满足“X采用A或B”。另外,除非另有指定或根据上下文清楚地针对单数形式,否则在本申请和所附权利要求中所使用的冠词“一”和“一个”通常应当被解释为是指“一个或更多个”。
这些过程被示出为逻辑流程图中的块的集合,其表示可以在机械上单独地实现、利用硬件实现和/或利用硬件结合固件或软件实现的一系列操作。在软件/固件的上下文中,块表示存储在一个或更多个计算机可读存储介质上的指令,所述指令在由一个或更多个处理器执行时,执行所陈述的操作。
注意,过程被描述的顺序不意在被解释为限制,并且可以以任何顺序组合任何数目的所描述的过程块以实现这些过程或者替选过程。此外,在不脱离本文中描述的主题的精神和范围的情况下,可以从该过程中删除个体块。
术语“计算机可读介质”是非暂态计算机存储介质或非暂态计算机可读存储介质。例如,计算机存储介质或计算机可读存储介质可以包括但不限于磁存储装置(例如,硬盘、软盘和磁条)、光盘(例如,致密盘(CD)和数字通用光盘(DVD))、智能卡、闪存装置(例如,拇指驱动器、杆、键驱动器和SD卡)以及易失性存储器和非易失性存储器(例如,随机存取存储器(RAM)、只读存储器(ROM))。
以下是本文所描述的技术的实现方式的示例:
示例1:一种与半导体制造协同地促进功能性微电子装置的产出的方法,其中,半导体制造包括由半导体晶圆的层(例如,材料的图案的堆叠)形成微电子装置的集合,该方法包括:
·收集半导体晶圆的制造计量数据,其中,制造计量数据包括在半导体制造中形成的晶圆的一个或更多个特性的测量结果,并且每个测量结果与晶圆的进行这样的测量的空间位置相关联;
·基于所收集的制造计量数据检测半导体晶圆的不合格部分;
·识别半导体晶圆的不合格区域,其中,不合格区域包括相邻的不合格部分的聚集;
·确定不合格区域中的不合格部分对至少部分地由不合格区域形成的微电子装置的功能的系统性影响。
示例2:根据示例1的方法,其中,制造计量数据的收集包括:
·来自使用作为正被制造的半导体的层的材料的图案的共同堆叠的多个半导体晶圆的测量结果;
·测量和/或计算制造计量数据,制造计量数据选自:边缘放置误差(EPE),栅格临界尺寸(CD)测量结果,块线宽粗糙度(LWR)测量结果,栅格LWR测量结果,块CD测量结果;轮廓(即,截面),选择性沉积;形成的微电子装置的电气性质;接触孔CD;接触孔粗糙度(CER和椭圆率;短沟槽尖端间距离;线尖端间距离;层间位移数据(即,叠加数据);膜厚度和均匀性;在单个工具的动作之后产生的测量结果;在单个层的工具中的所有工具之后产生的测量结果;在多个层之后产生的测量结果;以及其组合。
示例3:根据示例1的方法,其中,不合格部分是具有能够被测量和/或这样的测量结果落在限定范围和/或阈值之外的特性的活性层的区域。
示例4:根据示例1的方法,还包括生成所收集的半导体晶圆的制造计量数据的可视化。
示例5:根据示例4的方法,其中,可视化的生成包括产生具有特定的颜色和/或阴影的晶圆的图像,特定的颜色和/或阴影对应于晶圆的与测量和/或计算的制造计量数据的范围相关联的特定位置。
示例6:根据示例4的方法,其中,可视化的生成包括产生具有特定的颜色和/或阴影的晶圆的图像,特定的颜色和/或阴影对应于晶圆的与不合格区域相关联的特定位置。
示例7:根据示例1的方法,其中,微电子装置的功能包括以下之一:
·物理性质、相对于(例如,在同一层内、在下面的层和在上面的层的)其相邻装置的布置/取向以及物理功能;
·电气性质、相对于(例如,在同一层内、在下面的层和在上面的层的)其相邻装置的电气相互作用以及电气功能;
·电磁性质、相对于(例如,在同一层内、在下面的层和在上面的层的)其相邻装置的电磁相互作用以及电磁功能;
·相对于(例如,在同一层内、在下面的层和在上面的层的)其相邻装置的机电相互作用以及机电功能;或者
·其组合。
示例8:根据示例1的方法,其中,确定系统性影响包括估计具有半导体晶圆的不合格区域的一个层的实际图案的机电性质和/或功能。
示例9:根据示例1的方法,其中,确定系统性影响包括对由具有半导体晶圆的不合格区域的至少一个层形成的微电子装置的机电性质和/或功能进行建模。
示例10:根据示例1的方法,还包括改善不合格区域中的不合格部分,以改变由半导体晶圆的层形成微电子装置的集合。
示例11:根据示例1的方法,还包括改善被确定为对形成为半导体晶圆的一部分的微电子装置的机电功能具有足够的系统性影响的不合格区域中的不合格部分。
示例12:根据示例11的方法,其中,改善包括:
·选取至少一个半导体制造工具;
·选择在所选取的半导体制造工具的操作中的至少一个改变,其中,至少一个改变修改半导体制造;
·根据在所选取的半导体制造工具的操作中的所选择的改变来模拟半导体晶圆的制造;
·估计对由所模拟的半导体晶圆形成的微电子装置的机电性质和/或功能的影响。
示例13:根据示例11的方法,其中,改善包括:
·选取多个半导体制造工具的组合;
·选择在所选取的半导体制造工具中的每个半导体制造工具的操作中的至少一个改变,其中,改变修改半导体制造;
·根据在所选取的半导体制造工具中的每个半导体制造工具的操作中的所选择的改变来模拟半导体晶圆的制造;
·估计对由所模拟的半导体晶圆形成的微电子装置的机电性质和/或功能的影响。
示例14:根据示例11的方法,其中,改善包括在至少一个半导体制造工具的操作中的至少一个改变,其中,至少一个改变修改半导体制造。
示例15:根据示例11的方法,其中,改善包括在所选取的半导体制造工具中的每个半导体制造工具的操作中的至少一个改变,其中,改变修改半导体制造。
示例16:根据示例11的方法,其中,改善包括在所选取的半导体制造工具中的每个半导体制造工具的操作中的至少一个改变,其中,改变修改半导体制造。
示例17:根据示例11的方法,其中,改善包括:
·选择包括至少部分地由不合格区域形成的微电子装置的某个部分的层的图案;
·修改所选择的图案。
示例18:根据示例11的方法,其中,改善包括:
·选择包括至少部分地由不合格区域形成的微电子装置的某个部分的层的图案;
·获得经修改的图案,其中,经修改的图案是所选择的图案的修改。
示例19:根据示例11的方法,其中,改善包括:
·选择包括至少部分地由不合格区域形成的微电子装置的某个部分的层的图案;
·修改所选择的图案;
·用经修改的图案替换所选择的图案来模拟半导体晶圆的制造;
·估计对由所模拟的半导体晶圆形成的微电子装置的机电性质和/或功能的影响。
示例20:根据示例1至19的方法,其中,半导体制造的一个或多个工具选自沉积工具、轨道工具、光刻工具、蚀刻工具和清洁工具。
示例21:一种半导体制造工具,其被配置成响应于示例1至19的方法而改变其操作。
示例22:一种包括指令的非暂态计算机可读存储介质,所述指令在被执行时,使计算装置的处理器执行示例1至19的方法。
示例23:一种至少部分地与示例1至19的方法协同地制造的半导体晶圆。
示例24:一种通过至少部分地与示例1至19的方法协同地执行的半导体制造而形成的微电子装置。
示例25:一种包括指令的非暂态计算机可读存储介质,所述指令在被执行时,使计算装置的处理器与通过由半导体晶圆的层(例如,材料的图案的堆叠)形成微电子装置的集合的半导体制造协同地执行操作,操作包括:
·收集半导体晶圆的制造计量数据,其中,制造计量数据是在半导体制造中形成的晶圆的特性的测量结果;
·基于所收集的制造计量数据检测半导体晶圆的不合格部分;
·识别半导体晶圆的不合格区域,其中,不合格区域包括相邻的不合格部分的聚集;
·确定不合格区域中的不合格部分对至少部分地由不合格区域形成的微电子装置的功能的系统性影响。
示例26:根据示例25的非暂态计算机可读存储介质,其中,收集操作包括:
·来自使用作为正被制造的半导体的层的材料的图案的共同堆叠的多个半导体晶圆的测量结果;
·测量和/或计算制造计量数据,选自测量和/或计算制造计量数据,制造计量数据选自:边缘放置误差(EPE);栅格临界尺寸(CD)测量结果;块线宽粗糙度(LWR)测量结果;栅格LWR测量结果;块CD测量结果;轮廓(即,截面),选择性沉积;形成的微电子装置的电气性质;接触孔CD;接触孔粗糙度(CER和椭圆率;短沟槽尖端间距离;线尖端间距离;层间位移数据(即,叠加数据);膜厚度和均匀性;在单个工具的动作之后产生的测量结果;在单个层的工具中的所有工具之后产生的测量结果;在多个层之后产生的测量结果;及其组合。
示例27:根据示例25的非暂态计算机可读存储介质,其中,不合格部分是具有能够被测量和/或这样的测量结果落在限定范围和/或阈值之外的的特性的活性层的区域。
示例28:根据示例25的非暂态计算机可读存储介质,还包括生成所收集的半导体晶圆的制造计量数据的可视化。
示例29:根据示例28的非暂态计算机可读存储介质,其中,生成操作包括产生具有特定的颜色和/或阴影的晶圆的图像,特定的颜色和/或阴影对应于晶圆的与测量和/或计算的制造计量数据的范围相关联的特定位置。
示例30:根据示例28的非暂态计算机可读存储介质,其中,生成操作包括产生具有特定的颜色和/或阴影的晶圆的图像,特定的颜色和/或阴影对应于晶圆的与不合格区域相关联的特定位置。
示例31:根据示例25的非暂态计算机可读存储介质,其中,微电子装置的功能包括以下中之一:
·物理性质、相对于(例如,在同一层内、在下面的层和在上面的层的)其相邻装置的布置/取向以及物理功能;
·电气性质、相对于(例如,在同一层内、在下面的层和在上面的层的)其相邻装置的电气相互作用以及电气功能;
·电磁性质,相对于(例如,在同一层内、在下面的层和在上面的层的)其相邻装置的电磁相互作用以及电磁功能;
·相对于(例如,在同一层内、在下面的层和在上面的层的)其相邻装置的机电相互作用以及机电功能;或者
·其组合。
示例32:根据示例25的非暂态计算机可读存储介质,其中,确定操作包括估计具有半导体晶圆的不合格区域的一个层的实际图案的机电性质和/或功能。
示例33:根据示例25的非暂态计算机可读存储介质,其中,确定操作包括对由具有半导体晶圆的不合格区域的至少一个层形成的微电子装置的机电性质和/或功能进行建模。
示例34:根据示例25的非暂态计算机可读存储介质,还包括以下操作:改善不合格区域中的不合格部分,以改变由半导体晶圆的层形成微电子装置的集合。
示例35:根据示例25的非暂态计算机可读存储介质,还包括以下操作:改善被确定为对形成为半导体晶圆的一部分的微电子装置的机电功能具有足够的系统性影响的不合格区域中的不合格部分。
示例36:根据示例35的非暂态计算机可读存储介质,其中,改善操作包括:
·选取至少一个半导体制造工具;
·选择在所选取的半导体制造工具的操作中的至少一个改变,其中,至少一个改变修改半导体制造;
·根据在所选取的半导体制造工具的操作中的所选择的改变来模拟半导体晶圆的制造;
·估计对由所模拟的半导体晶圆形成的微电子装置的机电性质和/或功能的影响。
示例37:根据示例35的非暂态计算机可读存储介质,其中,改善操作包括:
·选取多个半导体制造工具的组合;
·选择在所选取的半导体制造工具中的每个半导体制造工具的操作中的至少一个改变,其中,改变修改半导体制造;
·根据在所选取的半导体制造工具中的每个半导体制造工具的操作中的所选择的改变来模拟半导体晶圆的制造;
·估计对由所模拟的半导体晶圆形成的微电子装置的机电性质和/或功能的影响。
示例38:根据示例35的非暂态计算机可读存储介质,其中,改善操作包括在至少一个半导体制造工具的操作中的至少一个改变,其中,至少一个改变修改半导体制造。
示例39:根据示例35的非暂态计算机可读存储介质,其中,改善操作包括在所选取的半导体制造工具中的每个半导体制造工具的操作中的至少一个改变,其中,改变修改半导体制造。
示例40:根据示例35的非暂态计算机可读存储介质,其中,改善操作包括:
·选择包括至少部分地由不合格区域形成的微电子装置的某个部分的层的图案;
·修改所选择的图案。
示例41:根据示例35的非暂态计算机可读存储介质,其中,改善操作包括:
·选择包括至少部分地由不合格区域形成的微电子装置的某个部分的层的图案;
·获得经修改的图案,其中,经修改的图案是所选择的图案的修改。
示例42:根据示例35的非暂态计算机可读存储介质,其中,改善操作包括:
·选择包括至少部分地由不合格区域形成的微电子装置的某个部分的层的图案;
·修改所选择的图案;
·用经修改的图案替换所选择的图案来模拟半导体晶圆的制造;
·估计对由所模拟的半导体晶圆形成的微电子装置的机电性质和/或功能的影响。
示例43:根据示例25至42的非暂态计算机可读存储介质,其中,半导体制造的一个或多个工具选自沉积工具、轨道工具、光刻工具、蚀刻工具和清洁工具。
示例44:一种半导体制造工具,其被配置成响应于示例25至42的操作而改变其操作。
示例45:一种至少部分地与示例25至42的操作协同地制造的半导体晶圆。
示例46:一种通过至少部分地与示例25至42的操作协同地执行的半导体制造形成的微电子装置。

Claims (33)

1.一种与半导体制造协同地促进功能性微电子装置的产出的方法,其中,半导体制造包括由半导体晶圆的层形成微电子装置的集合,所述方法包括:
收集所述半导体晶圆的制造计量数据,其中,所述制造计量数据包括在所述半导体制造中形成的所述晶圆的一个或更多个特性的测量结果,并且每个测量结果与所述晶圆的进行这样的测量的空间位置相关联;
基于所收集的制造计量数据检测所述半导体晶圆的不合格部分;
识别所述半导体晶圆的不合格区域,其中,所述不合格区域包括相邻的不合格部分的聚集;
确定所述不合格区域中的不合格部分对至少部分地由所述不合格区域形成的所述微电子装置的功能的系统性影响;
改善被确定为对形成为所述半导体晶圆的一部分的所述微电子装置的机电功能具有系统性影响的所述不合格区域中的不合格部分。
2.根据权利要求1所述的方法,其中,所述改善包括:
选取至少一个半导体制造工具;
选择在所选取的半导体制造工具的操作中的至少一个改变,其中,所述至少一个改变修改所述半导体制造;
根据在所选取的半导体制造工具的操作中的所选择的改变来模拟半导体晶圆的制造;
估计对由所模拟的半导体晶圆形成的所述微电子装置的机电性质和/或功能的影响。
3.根据权利要求1所述的方法,其中,所述改善包括:
选取多个半导体制造工具的组合;
选择在所选取的半导体制造工具中的每个半导体制造工具的操作中的至少一个改变,其中,所述改变修改所述半导体制造;
根据在所选取的半导体制造工具中的每个半导体制造工具的操作中的所选择的改变来模拟半导体晶圆的制造;
估计对由所模拟的半导体晶圆形成的所述微电子装置的机电性质和/或功能的影响。
4.根据权利要求1所述的方法,其中,所述改善包括在至少一个半导体制造工具的操作中的至少一个改变,其中,所述至少一个改变修改所述半导体制造。
5.根据权利要求1所述的方法,其中,所述改善包括在选取的半导体制造工具中的至少一个半导体制造工具的操作中的至少一个改变,其中,所述改变修改所述半导体制造。
6.根据权利要求1所述的方法,其中,所述半导体制造的一个或多个工具选自沉积工具、轨道工具、光刻工具、蚀刻工具和清洁工具。
7.一种包括指令的非暂态计算机可读存储介质,所述指令在被执行时使计算装置的处理器与通过由半导体晶圆的层(例如,材料的图案的堆叠)形成微电子装置的集合的半导体制造协同地执行操作,所述操作包括:
收集所述半导体晶圆的制造计量数据,其中,所述制造计量数据包括在所述半导体制造中形成的所述晶圆的一个或更多个特性的测量结果,并且每个测量结果与所述晶圆的进行这样的测量的空间位置相关联;
基于所收集的制造计量数据检测所述半导体晶圆的不合格部分;
识别所述半导体晶圆的不合格区域,其中,所述不合格区域包括相邻的不合格部分的聚集;
确定所述不合格区域中的不合格部分对至少部分地由所述不合格区域形成的所述微电子装置的功能的系统性影响;
改善被确定为对形成为所述半导体晶圆的一部分的所述微电子装置的机电功能具有足够的系统性影响的所述不合格区域中的不合格部分。
8.根据权利要求7所述的非暂态计算机可读存储介质,其中,所述改善操作包括:
选取至少一个半导体制造工具;
选择在所选取的半导体制造工具的操作中的至少一个改变,其中,所述至少一个改变修改所述半导体制造;
根据在所选取的半导体制造工具的操作中的所选择的改变来模拟半导体晶圆的制造;
估计对由所模拟的半导体晶圆形成的所述微电子装置的机电性质和/或功能的影响。
9.根据权利要求7所述的非暂态计算机可读存储介质,其中,所述改善操作包括:
选取多个半导体制造工具的组合;
选择在所选取的半导体制造工具中的至少一个半导体制造工具的操作中的至少一个改变,其中,所述改变修改所述半导体制造;
根据在所选取的半导体制造工具中的每个半导体制造工具的操作中的所选择的改变来模拟半导体晶圆的制造;
估计对由所模拟的半导体晶圆形成的所述微电子装置的机电性质和/或功能的影响。
10.根据权利要求7所述的非暂态计算机可读存储介质,其中,所述改善操作包括在至少一个半导体制造工具的操作中的至少一个改变,其中,所述至少一个改变修改所述半导体制造。
11.根据权利要求7所述的非暂态计算机可读存储介质,其中,所述改善操作包括在选取的半导体制造工具中的每个半导体制造工具的操作中的至少一个改变,其中,所述改变修改所述半导体制造。
12.根据权利要求7所述的非暂态计算机可读存储介质,其中,所述改善操作包括在选取的半导体制造工具中的每个半导体制造工具的操作中的至少一个改变,其中,所述改变修改所述半导体制造。
13.根据权利要求7所述的非暂态计算机可读存储介质,其中,所述半导体制造的一个或多个工具选自沉积工具、轨道工具、光刻工具、蚀刻工具和清洁工具。
14.一种与半导体制造协同地促进功能性微电子装置的产出的方法,其中,半导体制造包括由半导体晶圆的层形成微电子装置的集合,所述方法包括:
收集所述半导体晶圆的制造计量数据,其中,所述制造计量数据包括在所述半导体制造中形成的所述晶圆的一个或更多个特性的测量结果,并且每个测量结果与所述晶圆的进行这样的测量的空间位置相关联;
基于所收集的制造计量数据来检测所述半导体晶圆的不合格部分;
识别所述半导体晶圆的不合格区域,其中,所述不合格区域包括相邻的不合格部分的聚集;
确定所述不合格区域中的不合格部分对至少部分地由所述不合格区域形成的所述微电子装置的功能的系统性影响。
15.根据权利要求14所述的方法,其中,所述制造计量数据的收集包括:
来自使用作为正被制造的半导体的层的材料的图案的共同堆叠的多个半导体晶圆的测量结果;
测量和/或计算制造计量数据,选自:边缘放置误差(EPE),栅格临界尺寸(CD)测量结果,块线宽粗糙度(LWR)测量结果,栅格LWR测量结果,块CD测量结果,轮廓(即,截面),选择性沉积;形成的微电子装置的电气性质;接触孔CD;接触孔粗糙度;CER和椭圆率;短沟槽尖端间距离;线尖端间距离;层间位移数据(即,叠加数据);膜厚度和均匀性;在单个工具的动作之后产生的测量结果;在单个层的所有工具之后产生的测量结果;在多个层之后产生的测量结果;以及以上的组合。
16.根据权利要求14所述的方法,其中,不合格部分是具有能够被测量和/或这样的测量结果落在限定范围和/或阈值之外的特性的活性层的区域。
17.根据权利要求14所述的方法,其中,所述微电子装置的功能包括以下中之一:
物理性质、相对于其相邻装置的布置/取向以及物理功能;
电气性质、相对于其相邻装置的电气相互作用以及电气功能;
电磁性质、相对于其相邻装置的电磁相互作用以及电磁功能;
相对于其相邻装置的机电相互作用以及机电功能;或者
以上的组合。
18.根据权利要求14所述的方法,其中,确定所述系统性影响包括估计具有所述半导体晶圆的所述不合格区域的一个层的实际图案的机电性质和/或功能。
19.根据权利要求14所述的方法,其中,确定所述系统性影响包括对由具有所述半导体晶圆的所述不合格区域的至少一个层形成的所述微电子装置的机电性质和/或功能进行建模。
20.根据权利要求14所述的方法,其中,所述半导体制造的一个或多个工具选自沉积工具、轨道工具、光刻工具、蚀刻工具和清洁工具。
21.一种与半导体制造协同地促进功能性微电子装置的产出的方法,其中,半导体制造包括由半导体晶圆的层形成微电子装置的集合,所述方法包括:
收集所述半导体晶圆的制造计量数据,其中,所述制造计量数据包括在所述半导体制造中形成的所述晶圆的一个或更多个特性的测量结果,并且每个测量结果与所述晶圆的进行这样的测量的空间位置相关联;
基于所收集的所述半导体晶圆的制造计量数据来生成所述半导体晶圆的模型;
基于所生成的模型,基于所收集的制造计量数据来检测所述半导体晶圆的不合格部分;
识别所述半导体晶圆的不合格区域,其中,所述不合格区域包括相邻的不合格部分的聚集;
确定所述不合格区域中的不合格部分对至少部分地在所述不合格区域中形成的所述微电子装置的功能的系统性影响。
22.根据权利要求21所述的方法,其中,所述制造计量数据的收集包括:
来自使用作为正被制造的所述半导体的层的材料的图案的共同堆叠的多个半导体晶圆的测量结果;
测量和/或计算制造计量数据,选自:边缘放置误差(EPE);栅格临界尺寸(CD)测量结果;块线宽粗糙度(LWR)测量结果;栅格LWR测量结果;块CD测量结果;轮廓;截面;选择性沉积;形成的微电子装置的电气性质;接触孔CD;接触孔粗糙度;CER和椭圆率;短沟槽尖端间距离;线尖端间距离;层间位移数据;叠加数据;膜厚度和均匀性;在单个工具的动作之后产生的测量结果;在单个层的工具中的所有工具之后产生的测量结果;在多个层之后产生的测量结果;以及以上的组合。
23.根据权利要求21所述的方法,其中,不合格部分是具有能够被测量和/或这样的测量结果落在限定范围和/或阈值之外的特性的活性层的区域。
24.根据权利要求21所述的方法,还包括形成所收集的所述半导体晶圆的制造计量数据的可视化。
25.根据权利要求224所述的方法,其中,所述可视化的形成包括产生具有特定的颜色和/或阴影的所述晶圆的图像,所述特定的颜色和/或阴影对应于所述晶圆的与测量和/或计算的制造计量数据的范围相关联的特定位置。
26.根据权利要求224所述的方法,其中,所述可视化的形成包括产生具有特定的颜色和/或阴影的所述晶圆的图像,所述特定的颜色和/或阴影对应于所述晶圆的与所述不合格区域相关联的特定位置。
27.一种包括指令的非暂态计算机可读存储介质,所述指令在被执行时使计算装置的处理器与通过由半导体晶圆的层形成微电子装置的集合的半导体制造协同地执行操作,所述操作包括:
收集所述半导体晶圆的制造计量数据,其中,所述制造计量数据包括在所述半导体制造中形成的所述晶圆的一个或更多个特性的测量结果,并且每个测量结果与所述晶圆的进行这样的测量的空间位置相关联;
基于所收集的所述半导体晶圆的制造计量数据来生成所述半导体晶圆的模型;
基于所生成的模型,基于所收集的制造计量数据来检测所述半导体晶圆的不合格部分;
识别所述半导体晶圆的不合格区域,其中,所述不合格区域包括相邻的不合格部分的聚集;
确定所述不合格区域中的不合格部分对至少部分地由所述不合格区域形成的所述微电子装置的功能的系统性影响。
28.根据权利要求27所述的非暂态计算机可读存储介质,还包括形成所收集的所述半导体晶圆的制造计量数据的可视化。
29.根据权利要求28所述的非暂态计算机可读存储介质,其中,所述形成操作包括产生具有特定的颜色和/或阴影的所述晶圆的图像,所述特定的颜色和/或阴影对应于所述晶圆的与测量和/或计算的制造计量数据的范围相关联的特定位置。
30.根据权利要求28所述的非暂态计算机可读存储介质,其中,所述形成操作包括产生具有特定的颜色和/或阴影的所述晶圆的图像,所述特定的颜色和/或阴影对应于所述晶圆的与所述不合格区域相关联的特定位置。
31.一种方法,包括:
收集半导体晶圆的制造计量数据,其中,所述制造计量数据包括在半导体制造中形成的所述晶圆的一个或更多个特性的测量结果,并且每个测量结果与所述晶圆的进行这样的测量的空间位置相关联;
基于所收集的所述半导体晶圆的制造计量数据来生成所述半导体晶圆的模型;
基于所生成的模型,基于所收集的制造计量数据来检测所述半导体晶圆的不合格部分;
识别所述半导体晶圆的不合格区域,其中,所述不合格区域包括相邻的不合格部分的聚集;
确定所述不合格区域中的不合格部分对至少部分地由所述不合格区域形成的微电子装置的功能的系统性影响。
32.根据权利要求31所述的方法,还包括形成作为所收集的所述半导体晶圆的制造计量数据的可视化的指纹。
33.根据权利要求32所述的方法,其中,所述指纹的形成包括产生具有特定的颜色和/或阴影的所述晶圆的图像,所述特定的颜色和/或阴影对应于所述晶圆的与测量和/或计算的制造计量数据的范围相关联的特定位置。
CN201880070921.1A 2017-11-03 2018-11-02 功能性微电子装置的产出的提高 Pending CN111316412A (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201762581535P 2017-11-03 2017-11-03
US62/581,535 2017-11-03
US201862753155P 2018-10-31 2018-10-31
US201862753153P 2018-10-31 2018-10-31
US62/753,153 2018-10-31
US62/753,155 2018-10-31
PCT/US2018/059019 WO2019090122A1 (en) 2017-11-03 2018-11-02 Enhancement of yield of functional microelectronic devices

Publications (1)

Publication Number Publication Date
CN111316412A true CN111316412A (zh) 2020-06-19

Family

ID=66327085

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880070921.1A Pending CN111316412A (zh) 2017-11-03 2018-11-02 功能性微电子装置的产出的提高

Country Status (7)

Country Link
US (2) US11435393B2 (zh)
EP (1) EP3704734A4 (zh)
JP (1) JP7300597B2 (zh)
KR (1) KR102658977B1 (zh)
CN (1) CN111316412A (zh)
TW (2) TWI813595B (zh)
WO (1) WO2019090122A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11435393B2 (en) 2017-11-03 2022-09-06 Tokyo Electron Limited Enhancement of yield of functional microelectronic devices
US10867877B2 (en) * 2018-03-20 2020-12-15 Kla Corporation Targeted recall of semiconductor devices based on manufacturing data
US11244873B2 (en) 2018-10-31 2022-02-08 Tokyo Electron Limited Systems and methods for manufacturing microelectronic devices
US11868119B2 (en) 2021-09-24 2024-01-09 Tokyo Electron Limited Method and process using fingerprint based semiconductor manufacturing process fault detection
WO2023091321A1 (en) * 2021-11-17 2023-05-25 Coventor, Inc. System and method for performing hole profile modeling in a virtual fabrication environment

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050147898A1 (en) * 2000-02-04 2005-07-07 Advanced Micro Devices, Inc. Photolithography using interdependent binary masks
CN1773682A (zh) * 2004-11-11 2006-05-17 硅电子股份公司 校平半导体晶圆的方法及装置,及平坦度改进的半导体晶圆
US20060128039A1 (en) * 2004-12-13 2006-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Yield analysis method
US7280945B1 (en) * 2001-10-17 2007-10-09 Kla-Tencor Technologies Corporation Apparatus and methods for detection of systematic defects
WO2014024178A1 (en) * 2012-08-08 2014-02-13 Dsp Group Ltd. Method of improving wafer yield

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10335193A (ja) * 1997-05-30 1998-12-18 Toshiba Corp 製造工程仕様作成運営システム、プロセスデータ作成システム及び半導体装置の製造方法
US6408219B2 (en) 1998-05-11 2002-06-18 Applied Materials, Inc. FAB yield enhancement system
US6834375B1 (en) * 1999-11-18 2004-12-21 Pdf Solutions, Inc. System and method for product yield prediction using a logic characterization vehicle
US6684122B1 (en) 2000-01-03 2004-01-27 Advanced Micro Devices, Inc. Control mechanism for matching process parameters in a multi-chamber process tool
JP2002039801A (ja) * 2000-06-08 2002-02-06 Internatl Business Mach Corp <Ibm> 特性値表示方法および特性値表示装置
WO2002011183A2 (en) * 2000-07-31 2002-02-07 Ade Corporation Shape accuracy improvement using a novel calibration approach
US6954883B1 (en) * 2002-01-11 2005-10-11 Advanced Micro Devices, Inc. Method and apparatus for performing fault detection using data from a database
US7119351B2 (en) * 2002-05-17 2006-10-10 Gsi Group Corporation Method and system for machine vision-based feature detection and mark verification in a workpiece or wafer marking system
US6912437B2 (en) * 2002-09-30 2005-06-28 Advanced Micro Devices, Inc. Method and apparatus for controlling a fabrication process based on a measured electrical characteristic
US7085676B2 (en) 2003-06-27 2006-08-01 Tokyo Electron Limited Feed forward critical dimension control
JP4455936B2 (ja) * 2003-07-09 2010-04-21 富士通マイクロエレクトロニクス株式会社 半導体装置の製造方法とエッチングシステム
US7482178B2 (en) 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
US7485548B2 (en) * 2006-03-10 2009-02-03 Micron Technology, Inc. Die loss estimation using universal in-line metric (UILM)
US20080248412A1 (en) 2007-04-09 2008-10-09 John Douglas Stuber Supervisory etch cd control
US20090089024A1 (en) * 2007-09-28 2009-04-02 Chung-Ho Huang Methods and arrangement for creating models for fine-tuning recipes
US8357286B1 (en) * 2007-10-29 2013-01-22 Semcon Tech, Llc Versatile workpiece refining
TWI416361B (zh) * 2009-04-15 2013-11-21 Inotera Memories Inc 評估用以分析生產良率的資料價值之方法
US8041451B2 (en) * 2009-04-21 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for bin-based control
US8559001B2 (en) * 2010-01-11 2013-10-15 Kla-Tencor Corporation Inspection guided overlay metrology
JP2012237566A (ja) 2011-05-10 2012-12-06 Hitachi High-Technologies Corp 欠陥観察方法及びその装置
US10295993B2 (en) * 2011-09-01 2019-05-21 Kla-Tencor Corporation Method and system for detecting and correcting problematic advanced process control parameters
US9129237B2 (en) * 2011-12-28 2015-09-08 Elitetech Technology Co., Ltd. Integrated interfacing system and method for intelligent defect yield solutions
US9546862B2 (en) 2012-10-19 2017-01-17 Kla-Tencor Corporation Systems, methods and metrics for wafer high order shape characterization and wafer classification using wafer dimensional geometry tool
US8839159B2 (en) * 2013-01-17 2014-09-16 International Business Machine Corporation Determining overall optimal yield point for a semiconductor wafer
US20140282327A1 (en) * 2013-03-14 2014-09-18 Nvidia Corporation Cutter in diagnosis (cid) a method to improve the throughput of the yield ramp up process
JP6063313B2 (ja) * 2013-03-22 2017-01-18 株式会社東芝 電子デバイスの製造支援システム、製造支援方法及び製造支援プログラム
US10079183B2 (en) * 2013-06-26 2018-09-18 Kla-Tenor Corporation Calculated electrical performance metrics for process monitoring and yield management
CN105849643B (zh) * 2013-12-17 2019-07-19 Asml荷兰有限公司 良品率估计和控制
US9470743B2 (en) * 2014-03-04 2016-10-18 Nvidia Corporation Dynamic yield prediction
US10483081B2 (en) * 2014-10-22 2019-11-19 Kla-Tencor Corp. Self directed metrology and pattern classification
US9747520B2 (en) 2015-03-16 2017-08-29 Kla-Tencor Corporation Systems and methods for enhancing inspection sensitivity of an inspection tool
KR102441582B1 (ko) 2015-07-23 2022-09-07 삼성전자주식회사 Mpc 검증 방법 및 그 검증 방법을 포함한 마스크 제조방법
US10078269B2 (en) * 2015-10-02 2018-09-18 Nikon Corporation Array of encoders for alignment measurement
US10648924B2 (en) * 2016-01-04 2020-05-12 Kla-Tencor Corp. Generating high resolution images from low resolution images for semiconductor applications
US10181185B2 (en) 2016-01-11 2019-01-15 Kla-Tencor Corp. Image based specimen process control
US10310490B2 (en) 2016-02-01 2019-06-04 Qoniac Gmbh Method and apparatus of evaluating a semiconductor manufacturing process
US10234401B2 (en) 2016-02-22 2019-03-19 Qoniac Gmbh Method of manufacturing semiconductor devices by using sampling plans
US10354873B2 (en) 2016-06-08 2019-07-16 Tokyo Electron Limited Organic mandrel protection process
EP3382606A1 (en) 2017-03-27 2018-10-03 ASML Netherlands B.V. Optimizing an apparatus for multi-stage processing of product units
US10546085B2 (en) * 2017-04-12 2020-01-28 Anchor Semiconductor Inc. Pattern centric process control
US10727142B2 (en) 2017-05-30 2020-07-28 Kla-Tencor Corporation Process monitoring of deep structures with X-ray scatterometry
US11435393B2 (en) * 2017-11-03 2022-09-06 Tokyo Electron Limited Enhancement of yield of functional microelectronic devices
US11244873B2 (en) * 2018-10-31 2022-02-08 Tokyo Electron Limited Systems and methods for manufacturing microelectronic devices

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050147898A1 (en) * 2000-02-04 2005-07-07 Advanced Micro Devices, Inc. Photolithography using interdependent binary masks
US7280945B1 (en) * 2001-10-17 2007-10-09 Kla-Tencor Technologies Corporation Apparatus and methods for detection of systematic defects
CN1773682A (zh) * 2004-11-11 2006-05-17 硅电子股份公司 校平半导体晶圆的方法及装置,及平坦度改进的半导体晶圆
US20060128039A1 (en) * 2004-12-13 2006-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Yield analysis method
WO2014024178A1 (en) * 2012-08-08 2014-02-13 Dsp Group Ltd. Method of improving wafer yield

Also Published As

Publication number Publication date
KR102658977B1 (ko) 2024-04-18
EP3704734A1 (en) 2020-09-09
US20190139798A1 (en) 2019-05-09
TWI797187B (zh) 2023-04-01
JP2021502694A (ja) 2021-01-28
KR20200067933A (ko) 2020-06-12
US11435393B2 (en) 2022-09-06
WO2019090122A1 (en) 2019-05-09
US20190137565A1 (en) 2019-05-09
TW201931483A (zh) 2019-08-01
US11346882B2 (en) 2022-05-31
JP7300597B2 (ja) 2023-06-30
EP3704734A4 (en) 2021-08-11
TWI813595B (zh) 2023-09-01
TW201931180A (zh) 2019-08-01

Similar Documents

Publication Publication Date Title
CN111316412A (zh) 功能性微电子装置的产出的提高
KR102353216B1 (ko) 측정치 획득 방법, 프로세스 단계 수행 장치, 계측 장치, 디바이스 제조 방법
KR102521159B1 (ko) 반도체 제조 공정을 위한 개선된 공정 제어 기술
TWI731581B (zh) 判定圖案化製程之校正之方法、元件製造方法、用於微影裝置之控制系統及微影裝置
CN110546574B (zh) 维护工艺指印集合
KR102439008B1 (ko) 공정 매개변수를 기반으로 기판을 라벨링하는 방법
KR20190026958A (ko) 제조 공정에서 기판 상의 패턴들의 포지셔닝을 제어하기 위한 방법 및 컴퓨터 프로그램 제품
CN112088337B (zh) 用于基于过程参数标记衬底的方法
KR101328611B1 (ko) 반도체 메모리 디바이스 제조를 위한 패턴 매칭 방법
TWI802369B (zh) 多步驟製程檢測方法
US10008422B2 (en) Method for assessing the usability of an exposed and developed semiconductor wafer
KR100819094B1 (ko) 반도체 메모리 디바이스 제조를 위한 글로벌 매칭 방법
KR20230093247A (ko) 반도체 디바이스 제조 방법 및 반도체 제조 어셈블리를 위한 공정 제어 시스템
KR20210068546A (ko) 처리 스테이션들로부터의 개별적인 기여들에 관하여 후-처리 데이터를 특성화하는 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination