CN111095544B - 集成装置及其制备方法 - Google Patents

集成装置及其制备方法 Download PDF

Info

Publication number
CN111095544B
CN111095544B CN201980004321.XA CN201980004321A CN111095544B CN 111095544 B CN111095544 B CN 111095544B CN 201980004321 A CN201980004321 A CN 201980004321A CN 111095544 B CN111095544 B CN 111095544B
Authority
CN
China
Prior art keywords
layer
insulating layer
silicon layer
pad
wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201980004321.XA
Other languages
English (en)
Other versions
CN111095544A (zh
Inventor
陆斌
沈健
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shenzhen Goodix Technology Co Ltd
Original Assignee
Shenzhen Goodix Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shenzhen Goodix Technology Co Ltd filed Critical Shenzhen Goodix Technology Co Ltd
Publication of CN111095544A publication Critical patent/CN111095544A/zh
Application granted granted Critical
Publication of CN111095544B publication Critical patent/CN111095544B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49838Geometry or layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/07Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00
    • H01L25/072Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

提供一种集成装置及其制备方法,所述集成装置包括:基板,所述基板的上表面设置有至少一个第一焊盘;硅层,所述硅层设置在所述基板的上方,所述硅层设置有至少一个导电结构,所述至少一个导电结构分别对应所述至少一个第一焊盘;第一绝缘层,所述第一绝缘层设置在所述硅层的上方,所述第一绝缘层内设置有第一布线层,所述至少一个第一焊盘分别通过所述至少一个导电结构连接至所述第一布线层。基于以上技术方案,能够有效降低所述集成装置的厚度和成本。

Description

集成装置及其制备方法
技术领域
本申请实施例涉及芯片封装领域,并且更具体地,涉及集成装置及其制备方法。
背景技术
目前,2.5维(Dimensions,D)转接板(silicon/glass interposer)是后摩尔时代的一种重要的先进封装结构。
具体而言,如图1所示,2.5D转接板120选取硅片或玻璃作为基底,先利用晶圆工艺在基底121的两个表面制作重布线层(redistribution layer,RDL),然后通过镀铜的硅通孔(Through Si Vias,TSV)或玻璃通孔(Through Glass Via,TGV)122实现电信号从转接板正面的布线层123到背面的布线层124的垂直传递。
在封装过程中,芯片(chip)130以倒桩的形式通过2.5D转接板120封装在基板110上。例如,2.5D转接板120与芯片130通过节距较小的微凸点或焊球连接;于此同时,2.5D转接板120与基板110之间通过节距较大的凸点或焊球连接。
但是,由于2.5D转接板120不仅需要集成TSV/TGV、镀铜、金属平坦化、晶圆减薄、再布线、微细间距铜凸点、高精度芯片键合等完整成套制备工艺,而且安装工艺复杂,增加了成本。而且基于2.5D转接板120形成的产品100,其厚度也过大。
发明内容
提供一种集成装置及其制备方法,能够降低集成装置的成本和厚度。
第一方面,提供了一种集成装置,包括:
基板,所述基板的上表面设置有至少一个第一焊盘;
硅层,所述硅层设置在所述基板的上方,所述硅层设置有至少一个导电结构,所述至少一个导电结构分别对应所述至少一个第一焊盘;
第一绝缘层,所述第一绝缘层设置在所述硅层的上方,所述第一绝缘层内设置有第一布线层,所述至少一个第一焊盘分别通过所述至少一个导电结构连接至所述第一布线层。
通过在基板的上表面设置一层硅层以及在所述硅层中设置与所述至少一个第一焊盘分别对应的至少一个导电结构,不仅能够实现垂直和水平方向的高密度金属互连,而且能够避免使用2.5D转接板。相比2.5D转接板,所述集成装置的制备工艺以及将待集成芯片集成到所述集成装置的集成工艺简单,不仅能够降低所述集成装置的成本,而且能够降低所述集成装置的总体厚度。
同时,通过所述硅层支撑第一布线层,能够尽可能缓解所述基板和待集成芯片间的热膨胀系数差异,进而提升所述集成装置的性能。
此外,通过所述硅层支撑所述第一布线层,可以在所述硅层中集成电容器等无源器件,以提高所述集成装置的性能。
在一些可能实现的方式中,所述硅层包括多晶硅层、非晶硅层和微晶硅层中的至少一层。
在一些可能实现的方式中,所述硅层为沉积在所述基板上的沉积层。
在一些可能实现的方式中,所述硅层形成有所述至少一个第一焊盘分别对应的至少一个通孔,其中,所述第一布线层分别延伸至所述至少一个通孔内,且分别连接至所述至少一个第一焊盘,以形成所述至少一个导电结构。
在一些可能实现的方式中,所述第一绝缘层和所述第一布线层均延伸至所述至少一个通孔中的第一通孔内,且所述第一通孔内的第一布线层位于所述第一通孔内的第一绝缘层的外侧。
在一些可能实现的方式中,所述至少一个通孔中的第二通孔内设置有导电柱,所述第一布线层连接至所述导电柱。
在一些可能实现的方式中,所述至少一个通孔中的每一个通孔的靠近所述第一绝缘层的开口的孔径大于同一通孔的靠近所述基板的开口的孔径。
在一些可能实现的方式中,所述集成装置还包括:
第二绝缘层;
其中,所述第二绝缘层设置在所述硅层和所述第一绝缘层之间,且延伸至所述至少一个通孔中每一个通孔的内壁。
在一些可能实现的方式中,所述硅层形成有所述至少一个第一焊盘分别对应的至少一个导电区,其中,所述至少一个导电区中每一个导电区的电阻率小于或等于预设阈值,以形成所述至少一个导电结构。
在一些可能实现的方式中,所述集成装置还包括:
第三绝缘层;
其中,所述硅层在所述至少一个导电区的周围形成有贯通所述硅层的凹环,所述第三绝缘层设置在所述硅层和所述第一绝缘层之间,且延伸至所述凹环内,所述第三绝缘层形成有所述至少一个导电区中每一个导电区对应的通孔,所述至少一个导电区中的每一个导电区通过所述第三绝缘层上的同一导电区对应的通孔连接至所述第一布线层。
在一些可能实现的方式中,所述集成装置还包括:
第四绝缘层;
其中,所述第四绝缘层设置在所述基板和所述硅层之间,所述第四绝缘层形成有所述至少一个第一焊盘中的每一个第一焊盘对应的通孔,所述至少一个第一焊盘中的每一个第一焊盘通过所述第四绝缘层上的同一第一焊盘对应的通孔连接至同一第一焊盘对应的导电结构。
在一些可能实现的方式中,所述集成装置还包括:
第五绝缘层;
其中,所述第五绝缘层设置在所述硅层和所述基板之间,所述第五绝缘层内设置有第二布线层,所述硅层的至少一个导电结构分别通过所述第二布线层连接至所述至少一个第一焊盘。
在一些可能实现的方式中,所述第二布线层中布线的线宽大于所述第一布线层中布线的线宽,和/或,所述第二布线层中布线的间距大于所述第一布线层中布线的间距。
在一些可能实现的方式中,所述硅层包括多个硅层单元,所述第一绝缘层延伸至所述多个硅层单元中的每一个硅层单元的周围区域。
在一些可能实现的方式中,所述多个硅层单元中的每一个硅层单元至少设置有一个导电结构。
在一些可能实现的方式中,所述硅层内形成有无源器件。
在一些可能实现的方式中,所述无源器件包括电容器。
在一些可能实现的方式中,所述集成装置还包括:
芯片,所述芯片设置在所述第一绝缘层的上方,所述芯片靠近所述第一布线层的一侧设置有至少一个第二焊盘,所述至少一个第二焊盘分别连接至所述第一布线层。
在一些可能实现的方式中,所述第一布线层在靠近所述芯片的一侧设置有所述至少一个第二焊盘分别对应的至少一个链接焊盘,所述第一布线层在靠近所述硅层的一侧设置有所述至少一个第一焊盘分别对应的至少一个链接焊盘,其中,所述第一布线层在靠近所述芯片的一侧设置的连接焊盘的间距小于所述第一布线层在靠近所述硅层的一侧设置的连接焊盘的间距。
第二方面,提供了一种制备集成装置的方法,包括:
在基板的上表面形成硅层,所述基板的上表面设置有至少一个第一焊盘;
形成所述硅层的至少一个导电结构,所述至少一个导电结构分别对应所述至少一个第一焊盘;
在所述硅层的上方形成第一绝缘层;
其中,所述第一绝缘层内设置有第一布线层,所述至少一个第一焊盘分别通过所述至少一个导电结构连接至所述第一布线层。
在一些可能实现的方式中,所述硅层包括多晶硅层、非晶硅层和微晶硅层中的至少一层。
在一些可能实现的方式中,所述在基板的上表面形成硅层,包括:
在所述基板上沉积所述硅层。
在一些可能实现的方式中,所述形成所述硅层的至少一个导电结构,包括:
形成所述硅层的至少一个通孔,所述至少一个通孔分别对应所述至少一个第一焊盘;其中,所述在所述硅层的上方形成第一绝缘层,包括:
在所述硅层的上方形成第一绝缘层,所述第一布线层分别延伸至所述至少一个通孔内,且分别连接至所述至少一个第一焊盘,以形成所述至少一个导电结构。
在一些可能实现的方式中,所述第一绝缘层和所述第一布线层均延伸至所述至少一个通孔中的第一通孔内,且所述第一通孔内的第一布线层位于所述第一通孔内的第一绝缘层的外侧。
在一些可能实现的方式中,所述至少一个通孔中的第二通孔内设置有导电柱,所述第一布线层连接至所述导电柱。
在一些可能实现的方式中,所述至少一个通孔中的每一个通孔的靠近所述第一绝缘层的开口的孔径大于同一通孔的靠近所述基板的开口的孔径。
在一些可能实现的方式中,所述在所述硅层上形成第一绝缘层,包括:
在所述硅层的上方以及所述至少一个通孔中的每一个通孔的内壁形成第二绝缘层;
在所述第二绝缘层的上方形成所述第一绝缘层。
在一些可能实现的方式中,所述形成所述硅层的至少一个导电结构,包括:
形成所述硅层的至少一个导电区,所述至少一个导电区分别对应所述至少一个第一焊盘,其中,所述至少一个导电区中每一个导电区的电阻率小于或等于预设阈值,以形成所述至少一个导电结构。
在一些可能实现的方式中,所述在所述硅层上形成第一绝缘层,包括:
在所述至少一个导电区中的每一个导电区的周围形成贯通所述硅层的凹环;
在所述硅层和所述第一绝缘层之间以及所述凹环内,形成第三绝缘层;
形成所述第三绝缘层的所述至少一个导电区中的每一个导电区对应的通孔;
在所述第三绝缘层上形成所述第一绝缘层,所述至少一个导电区中的每一个导电区通过所述第三绝缘层上的同一导电区对应的通孔连接至所述第一布线层。
在一些可能实现的方式中,所述在基板的上表面形成硅层,包括:
在所述基板的上方形成第四绝缘层;
形成所述第四绝缘层的所述至少一个第一焊盘中的每一个第一焊盘对应的通孔;
在所述第四绝缘层的上方形成所述硅层,所述至少一个第一焊盘中的每一个第一焊盘通过所述第四绝缘层上的同一第一焊盘对应的通孔连接至同一第一焊盘对应的导电结构。
在一些可能实现的方式中,所述在基板的上表面形成硅层,包括:
在所述基板上形成第五绝缘层,所述第五绝缘层内设置有第二布线层;
在所述第五绝缘层上设置所述硅层,所述硅层的至少一个导电结构分别通过所述第二布线层连接至所述至少一个第一焊盘。
在一些可能实现的方式中,所述第二布线层中布线的线宽大于所述第一布线层中布线的线宽,和/或,所述第二布线层中布线的间距大于所述第一布线层中布线的间距。
在一些可能实现的方式中,所述在所述硅层的上方形成第一绝缘层,包括:
将所述硅层分割成多个硅层单元;
在所述多个硅层单元的上方以及所述多个硅层单元中的每一个硅层单元的周围区域形成所述第一绝缘层。
在一些可能实现的方式中,所述多个硅层单元中的每一个硅层单元至少设置有一个导电结构。
在一些可能实现的方式中,所述硅层内形成有无源器件。
在一些可能实现的方式中,所述无源器件包括电容器。
在一些可能实现的方式中,所述方法还包括:
在所述第一绝缘层的上方设置芯片;
其中,所述芯片靠近所述第一布线层的一侧设置有至少一个第二焊盘,所述至少一个第二焊盘分别连接至所述第一布线层。
在一些可能实现的方式中,所述第一布线层在靠近所述芯片的一侧设置有所述至少一个第二焊盘分别对应的至少一个链接焊盘,所述第一布线层在靠近所述硅层的一侧设置有所述至少一个第一焊盘分别对应的至少一个链接焊盘,其中,所述第一布线层在靠近所述芯片的一侧设置的连接焊盘的间距小于所述第一布线层在靠近所述硅层的一侧设置的连接焊盘的间距。
第三方面,提供了一种集成装置,包括:
按照第二方面以及第二方面中任一可能实现的方式中所述的方法制备的集成装置。
附图说明
图1是现有的芯片安装方案的示例。
图2是本申请实施例的集成装置的示意性结构图。
图3至图6均是图2所示的集成装置的变形结构的示意图。
图7是本申请实施例的制备集成装置的示意性流程图。
图8至图14分别是本申请实施例的在制备图2所示的集成装置的过程中的各个阶段中所形成的结构的示意图。
图15至图18分别是本申请实施例的在制备图3所示的集成装置的过程中的各个阶段中所形成的结构的示意图。
具体实施方式
下面将结合附图详细介绍本申请的集成装置及其制备方法。
应理解,本申请涉及的集成装置可应用于各种电子设备。例如,智能手机、笔记本电脑、平板电脑、游戏设备等便携式或移动计算设备,以及电子数据库、汽车、银行自动柜员机(Automated Teller Machine,ATM)等其他电子设备。
需要说明的是,为便于说明,在本申请的实施例中,相同的附图标记表示相同的部件,并且为了简洁,在不同实施例中,省略对相同部件的详细说明。应理解,附图示出的本申请实施例中的各种部件的厚度、长宽等尺寸,以及集成装置的整体厚度、长宽等尺寸仅为示例性说明,而不应对本申请构成任何限定。
此外,为便于理解,在以下示出的实施例中,对于不同实施例中示出的结构中,相同的结构采用相同的附图标记,并且为了简洁,省略对相同结构的详细说明。
图2是本申请实施例的集成装置的示意性结构图。
如图2所示,所述集成装置200可包括基板(Substrate)210、位于基板210的上方的硅层220以及位于硅层220上方的第一绝缘层230。
其中,所述基板210的上表面可设置有至少一个第一焊盘,所述硅层220可设置有至少一个导电结构,所述至少一个导电结构分别对应所述至少一个第一焊盘,所述第一绝缘层230内设置有第一布线层231,所述至少一个第一焊盘分别通过所述至少一个导电结构连接至所述第一布线层。
换言之,所述集成装置200可包括基板210、位于基板210的上方的硅层220、位于硅层220上方的第一绝缘层230以及位于所述第一绝缘层230中的第一布线层231,其中,所述硅层220用于支撑所述第一布线层231,所述第一绝缘层230用于保护并绝缘所述第一布线层231。所述第一布线层231分别通过所述硅层220中的至少一个导电结构连接至所述基板210的至少一个第一焊盘,以实现电信号从所述第一布线层231到所述基板210上的至少一个第一焊盘之间的垂直传递。
通过在基板210的上表面设置所述硅层220以及在所述硅层220中设置与所述至少一个第一焊盘分别对应的至少一个导电结构,不仅能够实现垂直和水平方向的高密度金属互连,而且能够避免使用2.5D转接板。相比2.5D转接板,所述集成装置的制备工艺以及将待集成芯片集成到所述集成装置的集成工艺简单,不仅能够降低所述集成装置200的成本,而且能够降低所述集成装置200的总体厚度。
同时,通过所述硅层220支撑第一布线层231,能够尽可能地缓解所述基板210和待集成芯片间的热膨胀系数差异,进而提升所述集成装置200的性能。
此外,通过所述硅层220支撑所述第一布线层231,可以在所述硅层220中集成电容等无源器件,以提高所述集成装置200的性能。
应理解,所述基板210可为各种芯片提供电连接、保护、支撑、散热、组装等功效,以实现多引脚化,缩小封装产品体积、改善电性能及散热性、超高密度或多芯片模块化的目的。
例如,所述基板210可以是各种封装技术中使用的各类柔性或刚性、有机或无机基板。基板210的材料包括但不限于石英、玻璃、陶瓷以及各类树脂等有机材料。其中所述有机基板还可以包括玻纤和氧化硅球等填充物(filler),例如FR4基板,双马来酰亚胺三嗪(Bismaleimide-Triazine,BT)树脂基板。其中FR4是一种耐燃材料等级的代号。
基板210的至少一个第一焊盘可以是在进入本集成工艺流程之前可以已经在其一表面制备的焊盘(Pad),也可以是在进入本集成工艺流程之后制备的焊盘。所述基板210的上表面可以是表面已制备有焊盘的一面,或者说,与所述硅层220的下表面相对的一面即为所述基板210的上表面。
结合图2来说,所述基板210可包括3个焊盘211,每个焊盘211可以连接至所述基板210中的内部电路。
硅层220可以是多晶硅层,也可以是非晶硅层或微晶硅层,还可以是由包括硅的混合材料形成的材料层,所述硅层220可以是沉积在所述基板210上的沉积层。例如,所述对称220可以是包括多晶硅或非晶硅的混合材料形成的沉积层。
第一绝缘层230可以是由具有绝缘性质的任一材料形成的材料层或沉积层。例如,所述第一绝缘层230的材料可以包括但不限于氧化硅、氮化硅、硅玻璃,也可以是旋转涂布玻璃(Spin on glass,SOG)、聚酰亚胺(polyimide,PI),帕里纶(Parylene),苯并环丁烯(BCB)等。其中,所述硅玻璃包括但不限于未掺杂硅玻璃(Undoped Silicon Glass,USG),硼硅玻璃(Boro-silicate glass,BSG),磷硅玻璃(phospho-silicate glass,PSG),硼磷硅玻璃(Boro-phospho-silicate glass,BPSG)。又例如,所述第一绝缘层230的材料也可以是一些无机材料,例如由四乙氧基硅烷(Tetraethyl Orth silicate,TEOS)合成的硅氧化物,硅的氧化物、氮化物以及陶瓷。又例如,所述第一绝缘层230可以是上述材料的叠层,或所述第一绝缘层可以是由上述材料混合后的材料形成的材料层。
第一布线层231可包括针对所述基板210的至少一个焊盘的连接焊盘,与针对所述基板210的至少一个焊盘的连接焊盘连接的布线,以及针对待集成芯片的连接焊盘。其中,所述第一绝缘层230的下表面露出分别针对所述基板210的至少一个焊盘的连接焊盘,和所述第一绝缘层230的上表面露出分别针对所述基板210的至少一个焊盘的连接焊盘。
可选地,在本申请的一些实施例中,所述第一布线层231可穿过所述硅层220并连接至所述基板210的至少一个第一焊盘。
例如,如图2所示,所述硅层220可形成有所述至少一个第一焊盘分别对应的至少一个通孔,其中,所述第一布线层231分别延伸至所述至少一个通孔内,且分别连接至所述基板210上的至少一个第一焊盘,以形成所述硅层220的至少一个导电结构。
换言之,所述硅层220在所述至少一个第一焊盘的上方分别形成至少一个通孔,所述至少一个通孔用于为所述第一布线层231提供容纳空间,以便所述第一布线层231能够连接至所述至少一个第一焊盘。所述至少一个通孔和所述通孔内的第一布线层231可用于形成所述至少一个导电结构,所述至少一个导电结构用于将所述第一布线层231连接至所述基板210的至少一个第一焊盘。
作为一个示例,所述第一绝缘层230和所述第一布线层231均延伸至所述至少一个通孔中的第一通孔内,且所述第一通孔内的第一布线层231位于所述第一通孔内的第一绝缘层230的外侧。
换言之,所述第一布线层231位于所述第一通孔的内壁,且连接至所述基板210的至少一个第一焊盘,所述第一绝缘层230填满所述第一通孔内由所述第一布线层231围成的区域。
结合图2来说,所述第一通孔可以是所述硅层220上的最左侧的通孔。
作为另一示例,所述至少一个通孔中的第二通孔内设置有导电柱,所述第一布线层231连接至所述导电柱。
换言之,所述第二通孔内可以填充满与所述第一布线层231的材料相同的导电材料,所述第一布线层231连接至所述第二通孔内的导电材料,进而形成所述硅层220的导电结构。
结合图2来说,所述第二通孔可以是所述硅层220上的最右侧的通孔。
当然,也可以将所述第一布线层231延伸至所述至少一个通孔中的第二通孔内,并填满所述第二通孔,以形成所述硅层220的导电结构,本申请对此不做具体限定。
应理解,所述至少一个通孔可以包括至少一个第一通孔和/或至少一个第二通孔,本申请对此不做具体限制。
可选地,在本申请的一些实施例中,所述至少一个通孔中的每一个通孔为呈轴对称的通孔。例如,所述至少一个通孔中的每一个通孔的靠近所述第一绝缘层的开口的孔径大于同一通孔的靠近所述基板的开口的孔径,以便于所述第一绝缘层230或所述第一布线层231延伸至通孔内。
结合图2来说,所述至少一个通孔中的每一个通孔可以是去顶的倒锥形结构。应理解,在其他可替代实施例中,所述至少一个通孔中的每一个通孔也可以是其它形状的通孔,例如倒梯形通孔。
如图2所示,在本申请的一些实施例中,所述集成装置200还可包括第二绝缘层240,以保护并绝缘所述第一布线层231。
例如,所述第二绝缘层240设置在所述硅层220和所述第一绝缘层230之间,且延伸至所述至少一个通孔中每一个通孔的内壁。
换言之,所述第二绝缘层240仅包覆所述硅层220的上表面和所述至少一个通孔中每一个通孔的内壁,使得所述第一布线层231能够穿过所述第二绝缘层230连接至所述基板210上的至少一个第一焊盘。
应理解,所述第二绝缘层240的材料可以与所述第一绝缘层230的材料相同,也可以不同,本申请对此不做具体限制。
如图2所示,在本申请的一些实施例中,所述集成装置200还可包括第四绝缘层250,以保护和绝缘所述基板210。
例如,所述第四绝缘层250可设置在所述基板210和所述硅层220之间,所述第四绝缘层250可形成有所述至少一个第一焊盘中的每一个第一焊盘对应的通孔,以便所述至少一个第一焊盘中的每一个第一焊盘通过所述第四绝缘层250上的同一第一焊盘对应的通孔连接至同一第一焊盘对应的导电结构。
换言之,所述第四绝缘层250可设置在所述基板210和所述硅层220之间,且在所述基本210的至少一个第一焊盘的上方分别形成有通孔,以使得所述第一布线层231能够穿过所述第四绝缘层250并连接至所述至少一个第一焊盘。
应理解,所述第四绝缘层250的材料可以与所述第一绝缘层230的材料相同,也可以不同,本申请对此不做具体限制。
如图2所示,在本申请的一些实施例中,所述集成装置200还可包括芯片260,所述芯片260可用于处理和/或收发信号。
例如,所述芯片260可设置在所述第一绝缘层230的上方,所述芯片260靠近所述第一布线层231的一侧设置有至少一个第二焊盘,所述至少一个第二焊盘分别连接至所述第一布线层231。
换言之,所述芯片260可通过所述第一布线层231连接至所述基板210,避免了使用转接板,不仅能够降低工艺复杂度,而且能够降低所述集成装置200的厚度。
应理解,所述芯片260可以是任意类型或规格的芯片。例如,所述芯片260可以是用于执行复杂的加密、解密算法的特殊芯片或安全芯片。其中,所述安全芯片可以是设置有电路的芯片(例如处理器)、物联网领域各类芯片等等。例如,所述芯片260可以包括晶体管、电阻、电容和电感等元件及布线的器件或部件,例如,所述芯片260可以是承载有集成电路(integrated circuit)的微型电子器件或部件。
可选地,在本申请的一些实施例中,所述第一布线层231在靠近所述芯片260的一侧设置有所述至少一个第二焊盘分别对应的至少一个链接焊盘,所述第一布线层231在靠近所述硅层220的一侧设置有所述至少一个第一焊盘分别对应的至少一个链接焊盘,其中,所述第一布线层231在靠近所述芯片260的一侧设置的连接焊盘的间距小于所述第一布线层231在靠近所述硅层220的一侧设置的连接焊盘的间距。
其中,所述芯片260的至少一个第二焊盘可通过锡球或其他连接部件连接至所述第一布线层231的连接焊盘。所述第一绝缘层230的上方可设置有至少一个芯片260。
结合图2来说,所述第一绝缘层的上方设置有3个芯片260,所述3个芯片260总共设置有9个焊盘261。此时,所述第一布线层231在靠近所述3个芯片260的一侧设置有9个连接焊盘,以分别连接至所述9个焊盘261,所述第一布线层231在靠近所述硅层220的一侧设置有3个连接焊盘,以分别连接至所述基板210的3个焊盘211。
当然,在其他可替代实施例中,所述第一布线层231在靠近所述芯片260的一侧设置的连接焊盘的间距也可以大于或等于所述第一布线层231在靠近所述硅层220的一侧设置的连接焊盘的间距。
图3是图2所示的集成装置200的变形结构的示意图。
如图3所示,在本申请的一些实施例中,所述硅层220可形成有所述至少一个第一焊盘分别对应的至少一个导电区,其中,所述至少一个导电区中每一个导电区的电阻率小于或等于预设阈值,以形成所述至少一个导电结构。例如,所述至少一个导电区中的每一个导电区可为柱状导电区225。
换言之,所述硅层220可在所述基板210的至少一个第一焊盘的上方分别形成至少一个导电区,所述至少一个导电区用作所述至少一个导电结构,由此,能够保证所述至少一个第一焊盘可通过所述硅层220电连接至所述第一布线层231。
应理解,在其他可替代实现方式中,所述至少一个导电区中每一个导电区也可以是其它形状的导电区,本申请对此不做具体限定。
如图3所示,在本申请的一些实施例中,所述集成装置200还包括第三绝缘层241,以保护并绝缘所述第一布线层231。
例如,所述硅层220在所述至少一个导电区的周围形成有贯通所述硅层220的凹环,所述第三绝缘层241设置在所述硅层220和所述第一绝缘层230之间,且延伸至所述凹环内,所述第三绝缘层241形成有所述至少一个导电区中每一个导电区对应的通孔,所述至少一个导电区中的每一个导电区通过所述第三绝缘层241上的同一导电区对应的通孔连接至所述第一布线层231。
换言之,所述第三绝缘层241在所述至少一个导电区中的每一个导电区的上方形成有一个通孔,以便所述第一布线层231可穿过所述第三绝缘层241连接至所述至少一个导电区。
图4是图2所示的集成装置200的另一变形结构的示意图。
如图4所示,在本申请的一些实施例中,所述集成装置200还可包括第五绝缘层,以便在所述基板210设置用于将所述第一布线层231连接至所述基板210的至少一个焊盘的第二布线层。
例如,所述第五绝缘层可设置在所述硅层220和所述基板210之间,所述第五绝缘层内设置有第二布线层,所述硅层220的至少一个导电结构分别通过所述第二布线层连接至所述至少一个第一焊盘。
可选地,在本申请的一些实施例中,所述第二布线层271中布线的线宽大于所述第一布线层231中布线的线宽,和/或,所述第二布线层271中布线的间距大于所述第一布线层231中布线的间距,以增加所述第二布线层271中布线的利用率。
换言之,RDL层位于硅层220的上表面和下表面。其中,上表面的第一布线层的线宽和/或线距较大,用于匹配基板210上的粗节距金属走线;下表面的第二布线层的线宽和/或线距较小,用于匹配芯片260上的细节距金属走线。
图5是图2所述的集成装置200的另一变形结构的示意图。
如图5所示,在本申请的一些实施例中,可以将所述硅层220分割成多个独立的硅层单元,以避免由于所述硅层220在温度较高的工艺过程中,由于热膨胀系数不匹配导致的硅层开裂的问题。
换言之,所述硅层220可包括多个硅层单元,所述第一绝缘层230延伸至所述多个硅层单元中的每一个硅层单元的周围区域。可选地,所述多个硅层单元中的每一个硅层单元至少设置有一个导电结构,以实现电信号在每一个硅层单元的垂直方向的传递。
其中,所述多个硅层单元可等间距分布,也可以不等间距分布。所述多个硅层单元的尺寸可以部分相同,也可以全部相同,本申请对此不做具体限定。例如,可以根据实际需求设定每个硅层单元的尺寸以及导电结构的数量。
结合图5来说,所述多个硅层单元可以包括2个硅层单元221。其中,左侧的硅层单元221设置有两个导电结构,右侧的硅层单元221设置有一个导电结构。
图6是图2所示的集成装置200的变形结构的另一示意图。
如图6所示,在本申请的一些实施例中,所述硅层220内可形成有无源器件280,以缩短所述无缘器件和集成在所述集成装置200上的芯片之间的距离,进而提高所述集成装置200的性能。例如,所述无源器件280包括电容器。可选地,可以以层叠的方式设置所述电容器,以便设置多个并联的电容器,进而提升所述电容器的容值。进一步地,所述电容器中的电介质层或导电层可以形成有凹槽结构,以增加所述电容器的容值。
应理解,图2至图6仅为本申请的示例,不应理解为对本申请的限制。
例如,在其他可替代实施例中,可以直接省略第二绝缘层240或第三绝缘层241。即所述第一绝缘层230可直接设置在所述硅层220的上表面。又例如,可以直接省略第四绝缘层250。即所述硅层220可直接设置在所述基板210的上表面。
又例如,在不冲突的前提下,本申请描述的各个实施例和/或各个实施例中的技术特征可以任意的相互组合,组合之后得到的技术方案也应落入本申请的保护范围。例如,也可以在图3所示的集成装置200中的硅层220中设置无源器件。
又例如,所述硅层220的至少一个通孔中的每一个通孔内也可以设置连接线缆,以将所述第一布线层231连接至所述基板210的焊盘上。
图7是本申请实施例的制备集成装置的方法300的示意性流程图。
如图7所示,所述方法300可包括:
S310,在基板的上表面形成硅层,所述基板的上表面设置有至少一个第一焊盘。
S320,形成所述硅层的至少一个导电结构,所述至少一个导电结构分别对应所述至少一个第一焊盘。
S330,在所述硅层的上方形成第一绝缘层。其中,所述第一绝缘层内设置有第一布线层,所述至少一个第一焊盘分别通过所述至少一个导电结构连接至所述第一布线层。
简而言之,在所述基板的上方形成所述硅层后,在所述硅层的上方形成所述第一绝缘层。其中,所述第一绝缘层内设置有第一布线层,所述硅层用于支撑所述第一布线层。所述硅层设置有至少一个导电结构,由此所述第一布线层通过所述至少一个导电结构可连接至所述基板的至少一个第一焊盘,进而实现电信号在垂直方向上的传递。
在本申请的一些实施例中,所述硅层包括多晶硅层、非晶硅层和微晶硅层中的至少一层。
在本申请的一些实施例中,所述S310可包括:
在所述基板上沉积所述硅层。
在本申请的一些实施例中,所述S320可包括:
形成所述硅层的至少一个通孔,所述至少一个通孔分别对应所述至少一个第一焊盘;其中,所述S330可包括:
在所述硅层的上方形成所述第一绝缘层,所述第一布线层分别延伸至所述至少一个通孔内,且分别连接至所述至少一个第一焊盘,以形成所述至少一个导电结构。
在本申请的一些实施例中,所述第一绝缘层和所述第一布线层均延伸至所述至少一个通孔中的第一通孔内,且所述第一通孔内的第一布线层位于所述第一通孔内的第一绝缘层的外侧。
在本申请的一些实施例中,所述至少一个通孔中的第二通孔内设置有导电柱,所述第一布线层连接至所述导电柱。
在本申请的一些实施例中,所述至少一个通孔中的每一个通孔的靠近所述第一绝缘层的开口的孔径大于同一通孔的靠近所述基板的开口的孔径。
在本申请的一些实施例中,所述S330可包括:
在所述硅层的上方以及所述至少一个通孔中的每一个通孔的内壁形成第二绝缘层;在所述第二绝缘层的上方形成所述第一绝缘层。
在本申请的一些实施例中,所述S320可包括:
形成所述硅层的至少一个导电区,所述至少一个导电区分别对应所述至少一个第一焊盘,其中,所述至少一个导电区中每一个导电区的电阻率小于或等于预设阈值,以形成所述至少一个导电结构。
在本申请的一些实施例中,所述所述S330可包括:
在所述至少一个导电区中的每一个导电区的周围形成贯通所述硅层的凹环;在所述硅层和所述第一绝缘层之间以及所述凹环内,形成第三绝缘层;形成所述第三绝缘层的所述至少一个导电区中的每一个导电区对应的通孔;在所述第三绝缘层上形成所述第一绝缘层,所述至少一个导电区中的每一个导电区通过所述第三绝缘层上的同一导电区对应的通孔连接至所述第一布线层。
在本申请的一些实施例中,所述S320可包括:
在所述基板的上方形成第四绝缘层;形成所述第四绝缘层的所述至少一个第一焊盘中的每一个第一焊盘对应的通孔;在所述第四绝缘层的上方形成所述硅层,所述至少一个第一焊盘中的每一个第一焊盘通过所述第四绝缘层上的同一第一焊盘对应的通孔连接至同一第一焊盘对应的导电结构。
在本申请的一些实施例中,所述S320可包括:
在所述基板上形成第五绝缘层,所述第五绝缘层内设置有第二布线层;在所述第五绝缘层上设置所述硅层,所述硅层的至少一个导电结构分别通过所述第二布线层连接至所述至少一个第一焊盘。
在本申请的一些实施例中,所述第二布线层中布线的线宽大于所述第一布线层中布线的线宽,和/或,所述第二布线层中布线的间距大于所述第一布线层中布线的间距。
在本申请的一些实施例中,所述S330可包括:
将所述硅层分割成多个硅层单元;在所述多个硅层单元的上方以及所述多个硅层单元中的每一个硅层单元的周围区域形成所述第一绝缘层。
在本申请的一些实施例中,所述多个硅层单元中的每一个硅层单元至少设置有一个导电结构。
在本申请的一些实施例中,所述硅层内形成有无源器件。
在本申请的一些实施例中,所述无源器件包括电容器。
在本申请的一些实施例中,所述方法300还可包括:
在所述第一绝缘层的上方设置芯片;其中,所述芯片靠近所述第一布线层的一侧设置有至少一个第二焊盘,所述至少一个第二焊盘分别连接至所述第一布线层。
在本申请的一些实施例中,所述第一布线层在靠近所述芯片的一侧设置有所述至少一个第二焊盘分别对应的至少一个链接焊盘,所述第一布线层在靠近所述硅层的一侧设置有所述至少一个第一焊盘分别对应的至少一个链接焊盘,其中,所述第一布线层在靠近所述芯片的一侧设置的连接焊盘的间距小于所述第一布线层在靠近所述硅层的一侧设置的连接焊盘的间距。
应理解,方法实施例与产品实施例可以相互对应,类似的描述可以参照产品实施例。为了简洁,在此不再赘述。
还应理解,所述方法300可以通过机器人或者数控加工方式来执行,用于执行所述方法300的设备软件或工艺可以通过执行保存在存储器中的计算机程序代码来执行上述方法300。
还应理解,在本申请的各种实施例中,上述各过程的序号的大小并不意味着执行顺序的先后,各过程的执行顺序应以其功能和内在逻辑确定,而不应对本申请实施例的实施过程构成任何限定。
图8至图14分别是本申请实施例的在制备图2所示的集成装置的过程中的各个阶段中所形成的结构的示意图。下面结合图8至图14对图2所示的集成装置200的制备方法进行说明。
步骤一:
选取需要焊接芯片的基板。例如图8所示的基板210。
其中,所述基板210可以是玻璃、陶瓷或有机基板。有机基板可以包含树脂、玻纤、氧化硅球等filler。所述基板210的上表面设置有至少一个焊盘211。
步骤二:
利用沉积工艺,先在所述基板210的上表面(即设置有焊盘的一侧)沉积第四绝缘层250,然后在所述第四绝缘层250的上表面沉积硅层220,以形成图9所示的结构。
其中,所述绝缘层可以的材料可以是氧化硅、氮化硅、硅玻璃(例如USG、BSG、PSG或BPSG),也可以是涂布的可旋涂玻璃(SOG)、聚酰亚胺(PI),帕里纶(Parylene),苯并环丁烯(BCB)等。
需要说明的是,也可以忽略所述第四绝缘层250。即利用沉积工艺,直接在所述基板210的上表面沉积所述硅层220。
步骤三:
利用光刻工艺结合干法刻蚀工艺(或者激光打孔工艺),在硅层220上制备至少一个通孔。所述至少一个通孔的底部停留在所述第四绝缘层250的上表面,以形成图10所示的结构。
当然,所述至少一个通孔的底部也可以停留在所述基板210的至少一个焊盘上。
步骤四:
利用沉积工艺,先在所述硅层220的至少一个通孔的内侧壁,以及硅层220的上表面沉积第二绝缘层240。然后利用刻蚀工艺,去除所述至少一个通孔的底部的第二绝缘层240(以及第四绝缘层250),以露出所述基板210的焊盘211,最后利用沉积工艺,在所述第二绝缘层240的上表面以及所述至少一个通孔的底部(即所述基板210的至少一个第一焊盘上,例如,图11中的最左侧的两个通孔)沉积金属层232,以形成图11所示的结构。
当然,也可以利用沉积工艺,将所述至少一个通孔内填满Cu、W等导电材料后,在所述第二绝缘层240的上表面以及所述导电材料的上表面(例如,图11中的最右侧的通孔)沉积所述金属层232。
步骤五:
利用光刻结合刻蚀(或腐蚀)工艺,图形化所述第二绝缘层240上方的金属层232,以形成第一布线层中的针对所述基板210的连接焊盘233,进而形成图12所示的结构。
步骤六:
在所述第二绝缘层240和所述连接焊盘233上制作与针对所述基板210的连接焊盘233连接的布线,以及与所述布线连接的针对芯片的连接焊盘以及第一绝缘层230。其中针对所述基板210的连接焊盘233、针对芯片的连接焊盘,以及针对基板210的连接焊盘233和针对芯片的连接焊盘之间的布线形成第一布线层231,所述第一布线层231设置在所述第一绝缘层230的内部,以形成图13所述的结构。
步骤七:
将长好微凸点的芯片260焊接到所述第一布线层231的针对所述芯片260的连接焊盘上。例如,通过锡球将将长好微凸点的芯片260焊接到所述第一布线层231的针对所述芯片260的连接焊盘上,以形成图14所示的结构。
图15至图18分别是本申请实施例的在制备图3所示的集成装置的过程中的各个阶段中所形成的结构的示意图。下面结合图15至图18对图3所示的集成装置200的制备方法进行说明。
步骤一:
选取基板210后,利用沉积工艺,在所述基板210的上表面沉积硅层220,然后通过局部离子注入掺杂并激光退火,以在所述基板210的每一个焊盘211的上方形成导电区225,进而形成图15所示的结构。
步骤二:
利用光刻工艺结合刻蚀工艺,在每一个导电区225的周围形成贯通所述硅层220的凹环(或间隙),然后利用沉积工艺,在所述硅层220的上表面以及所述凹环内沉积第三绝缘层241,以形成图16所述的结构。
步骤三:
然后利用刻蚀工艺,在每一个导电区225的上方形成所述第三绝缘层241的开孔,并在所述基板210的焊盘211上制作针对所述基板210的连接焊盘、与针对所述基板210的连接焊盘233连接的布线,以及与所述布线连接的针对芯片的连接焊盘,在所述第三绝缘层241的上方制备第一绝缘层230。其中针对所述基板210的连接焊盘、针对芯片的连接焊盘,以及针对基板210的连接焊盘和针对芯片的连接焊盘之间的布线形成第一布线层231,所述第一布线层231设置在所述第一绝缘层230的内部,以形成图17所述的结构。
步骤四:
将长好微凸点的芯片260焊接到所述第一布线层231的针对所述芯片260的连接焊盘上。例如,通过锡球将将长好微凸点的芯片260焊接到所述第一布线层231的针对所述芯片260的连接焊盘上,以形成图18所示的结构。
应理解,上文涉及的刻蚀工艺可以包括以下工艺中的至少一种:
干法刻蚀工艺、湿法刻蚀工艺和激光刻蚀工艺。
进一步地,所述干法蚀刻(dry etching)工艺可以包括以下刻蚀工艺中的至少一种:反应性离子蚀刻(reactive ion etching)、离子束刻蚀(ion beam etching)等。所述湿法刻蚀工艺的化学原料可以包括但不限于含氢氟酸的刻蚀液。在本申请的一些实施例中,采用干法刻蚀与湿法刻蚀相结合的刻蚀方法,或者采用激光刻蚀结合湿法刻蚀的方法,能够有效保证刻蚀的形状以及底面平整度等。
还应理解,上文涉及的沉积工艺包括但不限于:
物理气相沉积(Physical Vapor Deposition,PVD)工艺和/或化学气相沉积(Chemical Vapor Deposition,CVD)工艺。例如,热氧化、等离子体增强化学的气相沉积法(Plasma Enhanced Chemical Vapor Deposition,PECVD)、低压力化学气相沉积法(LowPressure Chemical Vapor Deposition,LPCVD)等)、原子层沉积(Atomic layerdeposition,ALD)、电镀、旋涂或喷涂。
还应理解,本申请实施例中涉及的制备工艺(例如沉积工艺或刻蚀工艺)仅为示例,不应理解为对本申请的限制。换言之,能够制备结构相同或类似的的集成装置的工艺均在本申请所保护的范围内。
本领域普通技术人员可以意识到,结合本文中所公开的实施例描述的各示例的制备方法,能够以电子硬件、或者计算机软件和电子硬件的结合来实现。这些功能究竟以硬件还是软件方式来执行,取决于技术方案的特定应用和设计约束条件。专业技术人员可以对每个特定的应用来使用不同方法来实现所描述的功能,但是这种实现不应认为超出本申请的范围。
在本申请所提供的几个实施例中,应该理解到,所揭露的集成装置、集成装置内的部件和制备集成装置的方法,可以通过其它的方式实现。例如,以上所描述的集成装置实施例仅仅是示例性的。例如,所述层的划分,仅仅为一种逻辑功能划分,实际实现时可以有另外的划分方式。例如多个层或器件可以结合或者可以集成。又例如一些特征(例如所述第二绝缘层240或第三绝缘层241)可以忽略或不制备。
例如,在其他可替代实施例中,所述第一绝缘层230和所述第二绝缘层240(或第三绝缘层241)可以合并为一个层。
以上所述,仅为本申请的具体实施方式,但本申请的保护范围并不局限于此,任何熟悉本技术领域的技术人员在本申请揭露的技术范围内,可轻易想到变化或替换,都应涵盖在本申请的保护范围之内。因此,本申请的保护范围应以所述权利要求的保护范围为准。

Claims (37)

1.一种集成装置,其特征在于,包括:
基板,所述基板的上表面设置有至少一个第一焊盘,所述基板的材料包括:树脂和玻纤;
硅层,所述硅层设置在所述基板的上方,所述硅层设置有至少一个导电结构,所述至少一个导电结构分别对应所述至少一个第一焊盘,所述硅层形成有所述至少一个第一焊盘分别对应的至少一个通孔;
第一绝缘层,所述第一绝缘层设置在所述硅层的上方,所述第一绝缘层内设置有第一布线层,所述至少一个第一焊盘分别通过所述至少一个导电结构连接至所述第一布线层;
第二绝缘层;
其中,所述第二绝缘层设置在所述硅层和所述第一绝缘层之间,且延伸至所述至少一个通孔中每一个通孔的内壁。
2.根据权利要求1所述的集成装置,其特征在于,所述硅层包括多晶硅层、非晶硅层和微晶硅层中的至少一层。
3.根据权利要求1所述的集成装置,其特征在于,所述硅层为沉积在所述基板上的沉积层。
4.根据权利要求1至3中任一项所述的集成装置,其特征在于,所述第一布线层分别延伸至所述至少一个通孔内,且分别连接至所述至少一个第一焊盘,以形成所述至少一个导电结构。
5.根据权利要求4所述的集成装置,其特征在于,所述第一绝缘层和所述第一布线层均延伸至所述至少一个通孔中的第一通孔内,且所述第一通孔内的第一布线层位于所述第一通孔内的第一绝缘层的外侧。
6.根据权利要求1至3中任一项所述的集成装置,其特征在于,所述至少一个通孔中的第二通孔内设置有导电柱,所述第一布线层连接至所述导电柱。
7.根据权利要求1至3中任一项所述的集成装置,其特征在于,所述至少一个通孔中的每一个通孔的靠近所述第一绝缘层的开口的孔径大于同一通孔的靠近所述基板的开口的孔径。
8.根据权利要求1至3中任一项所述的集成装置,其特征在于,所述硅层形成有所述至少一个第一焊盘分别对应的至少一个导电区,其中,所述至少一个导电区中每一个导电区的电阻率小于或等于预设阈值,以形成所述至少一个导电结构。
9.根据权利要求8所述的集成装置,其特征在于,所述集成装置还包括:
第三绝缘层;
其中,所述硅层在所述至少一个导电区的周围形成有贯通所述硅层的凹环,所述第三绝缘层设置在所述硅层和所述第一绝缘层之间,且延伸至所述凹环内,所述第三绝缘层形成有所述至少一个导电区中每一个导电区对应的通孔,所述至少一个导电区中的每一个导电区通过所述第三绝缘层上的同一导电区对应的通孔连接至所述第一布线层。
10.根据权利要求1至3中任一项所述的集成装置,其特征在于,所述集成装置还包括:
第四绝缘层;
其中,所述第四绝缘层设置在所述基板和所述硅层之间,所述第四绝缘层形成有所述至少一个第一焊盘中的每一个第一焊盘对应的通孔,所述至少一个第一焊盘中的每一个第一焊盘通过所述第四绝缘层上的同一第一焊盘对应的通孔连接至同一第一焊盘对应的导电结构。
11.根据权利要求1至3中任一项所述的集成装置,其特征在于,所述集成装置还包括:
第五绝缘层;
其中,所述第五绝缘层设置在所述硅层和所述基板之间,所述第五绝缘层内设置有第二布线层,所述硅层的至少一个导电结构分别通过所述第二布线层连接至所述至少一个第一焊盘。
12.根据权利要求11所述的集成装置,其特征在于,所述第二布线层中布线的线宽大于所述第一布线层中布线的线宽,和/或,所述第二布线层中布线的间距大于所述第一布线层中布线的间距。
13.根据权利要求1至3中任一项所述的集成装置,其特征在于,所述硅层包括多个硅层单元,所述第一绝缘层延伸至所述多个硅层单元中的每一个硅层单元的周围区域。
14.根据权利要求13所述的集成装置,其特征在于,所述多个硅层单元中的每一个硅层单元至少设置有一个导电结构。
15.根据权利要求1至3中任一项所述的集成装置,其特征在于,所述硅层内形成有无源器件。
16.根据权利要求15所述的集成装置,其特征在于,所述无源器件包括电容器。
17.根据权利要求1至3中任一项所述的集成装置,其特征在于,所述集成装置还包括:
芯片,所述芯片设置在所述第一绝缘层的上方,所述芯片靠近所述第一布线层的一侧设置有至少一个第二焊盘,所述至少一个第二焊盘分别连接至所述第一布线层。
18.根据权利要求17所述的集成装置,其特征在于,所述第一布线层在靠近所述芯片的一侧设置有所述至少一个第二焊盘分别对应的至少一个链接焊盘,所述第一布线层在靠近所述硅层的一侧设置有所述至少一个第一焊盘分别对应的至少一个链接焊盘,其中,所述第一布线层在靠近所述芯片的一侧设置的连接焊盘的间距小于所述第一布线层在靠近所述硅层的一侧设置的连接焊盘的间距。
19.一种制备集成装置的方法,其特征在于,包括:
在基板的上表面形成硅层,所述基板的上表面设置有至少一个第一焊盘,所述基板的材料包括:树脂和玻纤;
形成所述硅层的至少一个导电结构,所述至少一个导电结构分别对应所述至少一个第一焊盘;
形成所述硅层的至少一个通孔,所述至少一个通孔分别对应所述至少一个第一焊盘;
在所述硅层的上方形成第一绝缘层;
其中,所述第一绝缘层内设置有第一布线层,所述至少一个第一焊盘分别通过所述至少一个导电结构连接至所述第一布线层;
在所述硅层的上方以及所述至少一个通孔中的每一个通孔的内壁形成第二绝缘层;
在所述第二绝缘层的上方形成所述第一绝缘层。
20.根据权利要求19所述的方法,其特征在于,所述硅层包括多晶硅层、非晶硅层和微晶硅层中的至少一层。
21.根据权利要求19所述的方法,其特征在于,所述在基板的上表面形成硅层,包括:
在所述基板上沉积所述硅层。
22.根据权利要求19至21中任一项所述的方法,其特征在于,所述在所述硅层的上方形成第一绝缘层,包括:
在所述硅层的上方形成所述第一绝缘层,所述第一布线层分别延伸至所述至少一个通孔内,且分别连接至所述至少一个第一焊盘,以形成所述至少一个导电结构。
23.根据权利要求22所述的方法,其特征在于,所述第一绝缘层和所述第一布线层均延伸至所述至少一个通孔中的第一通孔内,且所述第一通孔内的第一布线层位于所述第一通孔内的第一绝缘层的外侧。
24.根据权利要求19至21中任一项所述的方法,其特征在于,所述至少一个通孔中的第二通孔内设置有导电柱,所述第一布线层连接至所述导电柱。
25.根据权利要求19至21中任一项中任一项所述的方法,其特征在于,所述至少一个通孔中的每一个通孔的靠近所述第一绝缘层的开口的孔径大于同一通孔的靠近所述基板的开口的孔径。
26.根据权利要求19至21中任一项所述的方法,其特征在于,所述形成所述硅层的至少一个导电结构,包括:
形成所述硅层的至少一个导电区,所述至少一个导电区分别对应所述至少一个第一焊盘,其中,所述至少一个导电区中每一个导电区的电阻率小于或等于预设阈值,以形成所述至少一个导电结构。
27.根据权利要求26所述的方法,其特征在于,所述在所述硅层上形成第一绝缘层,包括:
在所述至少一个导电区中的每一个导电区的周围形成贯通所述硅层的凹环;
在所述硅层和所述第一绝缘层之间以及所述凹环内,形成第三绝缘层;
形成所述第三绝缘层的所述至少一个导电区中的每一个导电区对应的通孔;
在所述第三绝缘层上形成所述第一绝缘层,所述至少一个导电区中的每一个导电区通过所述第三绝缘层上的同一导电区对应的通孔连接至所述第一布线层。
28.根据权利要求19至21中任一项所述的方法,其特征在于,所述在基板的上表面形成硅层,包括:
在所述基板的上方形成第四绝缘层;
形成所述第四绝缘层的所述至少一个第一焊盘中的每一个第一焊盘对应的通孔;
在所述第四绝缘层的上方形成所述硅层,所述至少一个第一焊盘中的每一个第一焊盘通过所述第四绝缘层上的同一第一焊盘对应的通孔连接至同一第一焊盘对应的导电结构。
29.根据权利要求19至21中任一项所述的方法,其特征在于,所述在基板的上表面形成硅层,包括:
在所述基板上形成第五绝缘层,所述第五绝缘层内设置有第二布线层;
在所述第五绝缘层上设置所述硅层,所述硅层的至少一个导电结构分别通过所述第二布线层连接至所述至少一个第一焊盘。
30.根据权利要求29所述的方法,其特征在于,所述第二布线层中布线的线宽大于所述第一布线层中布线的线宽,和/或,所述第二布线层中布线的间距大于所述第一布线层中布线的间距。
31.根据权利要求19至21中任一项所述的方法,其特征在于,所述在所述硅层的上方形成第一绝缘层,包括:
将所述硅层分割成多个硅层单元;
在所述多个硅层单元的上方以及所述多个硅层单元中的每一个硅层单元的周围区域形成所述第一绝缘层。
32.根据权利要求31所述的方法,其特征在于,所述多个硅层单元中的每一个硅层单元至少设置有一个导电结构。
33.根据权利要求19至21中任一项所述的方法,其特征在于,所述硅层内形成有无源器件。
34.根据权利要求33所述的方法,其特征在于,所述无源器件包括电容器。
35.根据权利要求19至21中任一项所述的方法,其特征在于,所述方法还包括:
在所述第一绝缘层的上方设置芯片;
其中,所述芯片靠近所述第一布线层的一侧设置有至少一个第二焊盘,所述至少一个第二焊盘分别连接至所述第一布线层。
36.根据权利要求35所述的方法,其特征在于,所述第一布线层在靠近所述芯片的一侧设置有所述至少一个第二焊盘分别对应的至少一个链接焊盘,所述第一布线层在靠近所述硅层的一侧设置有所述至少一个第一焊盘分别对应的至少一个链接焊盘,其中,所述第一布线层在靠近所述芯片的一侧设置的连接焊盘的间距小于所述第一布线层在靠近所述硅层的一侧设置的连接焊盘的间距。
37.一种集成装置,其特征在于,包括:
按照权利要求19至36中任一项所述的方法制备的集成装置。
CN201980004321.XA 2019-09-06 2019-09-06 集成装置及其制备方法 Active CN111095544B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2019/104733 WO2021042377A1 (zh) 2019-09-06 2019-09-06 集成装置及其制备方法

Publications (2)

Publication Number Publication Date
CN111095544A CN111095544A (zh) 2020-05-01
CN111095544B true CN111095544B (zh) 2022-02-18

Family

ID=70398786

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980004321.XA Active CN111095544B (zh) 2019-09-06 2019-09-06 集成装置及其制备方法

Country Status (2)

Country Link
CN (1) CN111095544B (zh)
WO (1) WO2021042377A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112652573A (zh) * 2020-12-07 2021-04-13 海光信息技术股份有限公司 一种封装方法及芯片
CN117038599A (zh) * 2023-10-07 2023-11-10 之江实验室 芯片封装结构及封装方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102148221A (zh) * 2010-02-10 2011-08-10 精材科技股份有限公司 电子元件封装体及其制造方法
US9773749B2 (en) * 2012-11-14 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Warpage control of semiconductor die package
CN108630646A (zh) * 2017-03-15 2018-10-09 矽品精密工业股份有限公司 电子封装件及其基板构造
CN210167357U (zh) * 2019-09-06 2020-03-20 深圳市汇顶科技股份有限公司 集成装置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010080897A (ja) * 2008-09-29 2010-04-08 Panasonic Corp 半導体装置及びその製造方法
CN104465973B (zh) * 2014-11-21 2017-08-25 江阴长电先进封装有限公司 一种半导体器件的圆片级封装方法
US10535595B2 (en) * 2015-12-26 2020-01-14 Intel Corporation Conductive base embedded interconnect
US10847869B2 (en) * 2017-06-07 2020-11-24 Mediatek Inc. Semiconductor package having discrete antenna device
CN207868196U (zh) * 2017-12-15 2018-09-14 深圳市汇顶科技股份有限公司 一种电容器
CN109075141B (zh) * 2018-07-26 2020-02-07 深圳市汇顶科技股份有限公司 芯片封装结构、方法和终端设备
CN109665487B (zh) * 2018-12-26 2020-11-10 中芯集成电路(宁波)有限公司 一种mems器件晶圆级系统封装方法以及封装结构

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102148221A (zh) * 2010-02-10 2011-08-10 精材科技股份有限公司 电子元件封装体及其制造方法
US9773749B2 (en) * 2012-11-14 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Warpage control of semiconductor die package
CN108630646A (zh) * 2017-03-15 2018-10-09 矽品精密工业股份有限公司 电子封装件及其基板构造
CN210167357U (zh) * 2019-09-06 2020-03-20 深圳市汇顶科技股份有限公司 集成装置

Also Published As

Publication number Publication date
WO2021042377A1 (zh) 2021-03-11
CN111095544A (zh) 2020-05-01

Similar Documents

Publication Publication Date Title
US9159602B2 (en) Apparatus and methods for constructing semiconductor chip packages with silicon space transformer carriers
US10475726B2 (en) Low CTE component with wire bond interconnects
TWI566372B (zh) 具有積體被動組件之設備
US20200006307A1 (en) Fan-Out Package with Cavity Substrate
KR20210028072A (ko) 고속 데이터 송신을 위한 반도체 패키지 및 그 제조 방법
US11444067B2 (en) Stacked interposer structures, microelectronic device assemblies including same, and methods of fabrication, and related electronic systems
CN111095544B (zh) 集成装置及其制备方法
TWI806297B (zh) 半導體封裝結構
US20230352413A1 (en) Semiconductor devices with recessed pads for die stack interconnections
US11380611B2 (en) Chip-on-wafer structure with chiplet interposer
US20220328395A1 (en) Chip-On-Wafer Structure with Chiplet Interposer
CN210167357U (zh) 集成装置
TWI758151B (zh) 半導體封裝結構
TWI751792B (zh) 半導體封裝結構
US20230378132A1 (en) Semiconductor package and method of manufacturing the same
CN220324449U (zh) 半导体封装
US20220344295A1 (en) Semiconductor interconnect structures with conductive elements, and associated systems and methods
TW202414614A (zh) 半導體元件
TW202213672A (zh) 積體基板結構、電子組件及其製造方法
KR20220103007A (ko) 반도체 소자 및 방법
CN114883197A (zh) 半导体结构及其形成方法
TW202329383A (zh) 半導體結構、半導體配置及其形成方法
CN116504747A (zh) 信号路由结构及包含其的半导体装置组合件

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant