CN110874009B - 极紫外遮罩及其制造方法 - Google Patents

极紫外遮罩及其制造方法 Download PDF

Info

Publication number
CN110874009B
CN110874009B CN201910818426.0A CN201910818426A CN110874009B CN 110874009 B CN110874009 B CN 110874009B CN 201910818426 A CN201910818426 A CN 201910818426A CN 110874009 B CN110874009 B CN 110874009B
Authority
CN
China
Prior art keywords
mask
layer
extreme ultraviolet
particle
euv
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910818426.0A
Other languages
English (en)
Other versions
CN110874009A (zh
Inventor
石志聪
简聪智
傅士奇
傅啟华
郑国堂
刘柏村
李宗泉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110874009A publication Critical patent/CN110874009A/zh
Application granted granted Critical
Publication of CN110874009B publication Critical patent/CN110874009B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/46Antireflective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

一种极紫外线遮罩及其制造方法。极紫外(extreme ultraviolet;EUV)遮罩包括:多层钼/硅堆叠、覆盖层及在覆盖层上的吸收体层。钼/硅堆叠包含安置于遮罩基板的第一主表面之上的交替的钼及硅层;覆盖层由钌制成并且安置在多层钼/硅堆叠之上。极紫外遮罩包括电路图案区域及粒子吸引区域,并且覆盖层在粒子吸引区域的图案的底部处暴露。

Description

极紫外遮罩及其制造方法
技术领域
本揭露是关于一种极紫外线遮罩及其制造方法。
背景技术
在极紫外(extreme ultraviolet;EUV)光微影中,由电浆发射的极紫外光线反射出收集镜,导向经图案化的极紫外遮罩,并且反射出遮罩至目标基板上。极紫外反射遮罩包括基板、极紫外反射多层结构,及极紫外吸收层(“吸收体”)。极紫外吸收层是通过蚀刻及光微影技术而图案化以曝光下层的极紫外反射层,用于在目标基板上的所需图案的极紫外光微影图案化;同时极紫外吸收层吸收极紫外光线以便在所需区域中图案化目标基板。因此,极紫外吸收层的厚度、极紫外反射多层结构的层中每一层的厚度、上述层的表面粗糙度,及遍布各层的材料性质的均匀性对于照射目标基板的极紫外光的品质皆是极其重要的。在行业实践中,离轴照射或其他因素可在目标基板上产生阴影效应,并且极紫外光吸收层的厚度变化可影响极紫外光吸收层与极紫外反射多层结构的组合的正常功能。
发明内容
本揭露的一态样提供一种极紫外(EUV)遮罩,包括:多层钼/硅堆叠、覆盖层及在覆盖层上的吸收体层。多层钼/硅堆叠包含安置于遮罩基板的第一主表面之上的交替的钼及硅层。覆盖层由钌制成并且安置在多层钼/硅堆叠之上。极紫外遮罩包括电路图案区域及粒子吸引区域,并且覆盖层在粒子吸引区域的图案的底部处暴露。
本揭露的另一态样提供一种极紫外(extreme ultraviolet;EUV)遮罩,包含多层钼/硅堆叠、覆盖层、在覆盖层上的吸收体层,以及导电层。多层钼/硅堆叠包括安置在遮罩基板的第一主表面上的交替的钼层及硅层。覆盖层由钌制成并且安置在多层钼/硅堆叠上。极紫外遮罩包括电路图案区域及粒子吸引区域,导电层在粒子吸引区域中的吸收体层之上形成为最顶层。
本揭露的再一态样提供一种制造极紫外遮罩的方法,包含:获取电路图案数据;识别一或多个非图案区域;对于一或多个非图案区域产生粒子吸引图案;从电路图案数据及粒子吸引图案产生遮罩图数据;以及使用产生的遮罩图数据制造极紫外遮罩。
附图说明
本揭示内容当与附图一起阅读时将从以下实施方式中最佳地理解。强调地是,根据行业中的标准实践,各个特征并未按比例绘制并且是仅用于说明目的。实际上,为了论述清晰起见,各个特征的尺寸可以任意地增加或减小。
图1图示根据本揭露的实施例的极紫外微影工具;
图2图示根据本揭露的实施例的极紫外微影工具的细节的示意图;
图3图示根据本揭露的实施例的极紫外遮罩的横截面图;
图4图示根据本揭露的实施例的在将粒子吸引图案添加至遮罩图案之前及之后的布局;
图5A图示根据本揭露的一实施例的非可列印精细图案,并且图5B图示根据本揭露的另一实施例的非可列印精细图案;
图6图示根据本揭露的实施例的制造用于半导体制造操作的极紫外遮罩的方法的流程图;
图7A及图7B图示根据本揭露的实施例的光遮罩数据产生装置;
图8图示根据本揭露的实施例的极紫外遮罩的横截面图;
图9图示根据本揭露的另一实施例的在将粒子吸引图案添加至遮罩图案之后的布局;
图10图示根据本揭露的另一实施例的在将粒子吸引图案添加至遮罩图案之后的布局;
图11图示说明本揭露的效应的实验结果。
【符号说明】
10 遮罩基板
15 导电层
17 钼层/铍层
19 硅层
20 多层堆叠
25 覆盖层
30 极紫外吸收层/吸收体
35 抗反射层
50 电路图案
60 粒子吸引区域
65 精细图案
70 黑色边界区域
80 导电层
100 极紫外辐射源
105 腔室
110 收集器
115 靶材液滴产生器
120 喷嘴
125 液滴捕捉器
130 第一缓冲气体供应
135 第二缓冲气体供应
140 气体出口
200 曝光装置
205a 光学元件
205b 光学元件
205c 光学元件/遮罩
205d 光学元件
205e 光学元件
210 基板
300 激发激光源
310 激光产生器
320 激光引导光学元件
330 聚焦装置
510 实际电路图案
515 非可列印精细图案
520 实际电路图案
525 非可列印精细图案
900 计算机系统
901 计算机
902 键盘
903 鼠标
904 屏幕
905 光盘驱动器
906 磁盘驱动器
911 处理器
912 ROM
913 随机存取记忆体
914 硬盘
915 总线
921 光盘
922 磁盘
S601 步骤
S602 步骤
S603 步骤
S604 步骤
S605 步骤
S606 步骤
S607 步骤
S608 步骤
MF 主板
BF 底板
DP1 DP2 阻尼器
PP1 PP2 基座板
DP 靶材液滴
LR1 激光
LR2 激发激光/脉冲激光
D1 距离
L1 长度
L2 长度
L3 长度
P1 节距
P2 节距
P3 节距
具体实施方式
应理解,以下揭示内容提供了用于实施本揭露的不同特征的许多不同实施例,或实施例。下文描述了元件和布置的特定实施例或实施例以简化本揭露。当然,该些实施例仅为实施例且并不意欲作为限制。例如,元件的尺寸不限于所揭示的范围或值,但是可取决于装置的制程条件及/或所要性质。此外,在以下描述中的第一特征在第二特征之上或上方的形式可包括其中第一特征与第二特征直接接触形成的实施例,且亦可包括其中可介于第一特征与第二特征之间形成额外特征,以使得第一特征与第二特征可不直接接触的实施例。为了简化及清晰起见,各种特征可以不同比例任意绘制。
此外,诸如“在……下方”、“在……之下”、“下部”、“在……之上”、“上部”等等空间相对术语可在本文中为了便于描述的目的而使用,以描述如附图中所示的一个元件或特征与另一元件或特征的关系。空间相对术语意欲涵盖除了附图中所示的定向之外的在使用或操作中的装置的不同定向。装置可经其他方式定向(旋转90度或以其他定向)并且本文所使用的空间相对描述词可同样相应地解释。此外,术语“由……制成”可意谓“包含”或“由……组成”中的任一者。在本揭露中,用语“A、B及C中的一者”意谓“A、B及/或C”(A、B、C、A及B、A及C、B及C,或A及B及C),且不意谓来自A的一个元素,来自B的一个元素及来自C的一个元素,除非另有说明。
为了解决对于减小晶片元件的尺寸及对行动电子装置(诸如具有计算机功能、多任务能力,或甚至具有工作站能力的智能电话)的更高计算功率晶片的需求的莫耳定律的趋势,需要更小波长的光微影曝光系统。极紫外(EUV)光微影技术使用极紫外辐射源以发射具有13.5nm的波长的极紫外光。因为该波长亦在X射线辐射波长区域中,所以极紫外辐射源亦称为软性X射线辐射源。从激光产生的电浆(laser-produced plasma;LPP)发射的极紫外光线是由收集镜收集并且朝向图案化遮罩反射。
图1为根据本揭露的一些实施例的具有基于LPP的极紫外辐射源的极紫外微影工具的示意图。极紫外微影系统包括用以产生极紫外辐射的极紫外辐射源100、曝光装置200(诸如扫描器),及激发激光源300。如图1中所示,在一些实施例中,极紫外辐射源100及曝光装置200将安装在清洁室的主板(main floor;MF)上,而激发激光源300经安装在位于主板之下的底板(base floor;BF)中。极紫外辐射源100及曝光装置200的每一者分别经由阻尼器DP1及DP2置放于基座板PP1及PP2上。极紫外辐射源100及曝光装置200经由耦合机构彼此耦合,该耦合机构可包括聚焦单元。
极紫外微影工具经设计以曝光光阻剂层至极紫外光(亦可互换地在本文中称为极紫外辐射)。光阻剂层为对极紫外光敏感的材料。极紫外光微影系统使用极紫外辐射源100产生极紫外光,诸如具有范围在约1nm与100nm之间的波长的极紫外光。在一特定实施例中,极紫外辐射源100产生具有以约13.5nm为中心的波长的极紫外光。在本实施例中,极紫外辐射源100利用激光产生的电浆的机构以产生极紫外辐射。
曝光装置200包括各种反射性光学元件,诸如凹面镜/凸面镜/平面镜、包括遮罩平台的遮罩保持机构,及晶圆保持机构。由极紫外辐射源100产生的极紫外辐射EUV是通过反射性光学元件引导至遮罩上,该遮罩固定在遮罩平台上。在一些实施例中,遮罩平台包括用以固定遮罩的静电夹盘(electrostatic chuck;e-chuck)。
图2为根据本揭露的实施例的极紫外微影工具的细节的简化示意图,图示使用极紫外光的图案化光束对光阻剂涂布的基板210的曝光。曝光装置200为一种集成电路微影工具,诸如步进器、扫描器、步进及扫描系统、直接写入系统、使用接触及/或邻近遮罩的装置等等,该些装置具有例如用以利用极紫外光束照亮图案化光学元件205c(诸如主光罩)以产生图案化光束的一或多个光学元件205a、205b,以及用于将图案化光束投影至基板210上的一或多个还原投影光学元件205d、205e。可提供机械组件(未图示)用于在基板210与图案化光学元件205c之间产生受控的相对运动。如图2中进一步所示,极紫外微影工具包括极紫外光源100,该极紫外光源100包括在腔室105中的发射极紫外光的极紫外光辐射器ZE,该极紫外光由收集器110沿着路径反射至曝光装置200中以照射基板210。
如本文中所使用,术语“光学元件”意谓广泛地解释为包括但不必限于反射及/或透射及/或操作入射光的一或多个元件,并且包括但不限于一或多个透镜、窗口、滤光器、楔状物、棱镜、棱栅、分级镜、传输光纤、标准量具、扩散器、均匀器及其他仪器元件、孔径、包括多层反射镜的旋转三棱镜或多个反射镜、近法向入射镜、掠入射镜、镜面反射镜、漫反射镜及上述各者的组合。此外,除非另有说明,否则如本文所使用的术语“光学元件”是针对但不限于在一或多个特定波长范围之内单独或有利操作的元件,上述波长范围诸如为在极紫外输出光波长、照射激光波长、适用于量测的波长或任何其他特定波长下。
因为,气体分子吸收极紫外光,所以用于极紫外微影图案化的微影系统经保持在真空或低压环境中以避免极紫外强度损失。
在本揭露中,术语遮罩、光遮罩及主光罩可互换地使用。在本揭露中,图案化光学元件205c为反射性遮罩。在实施例中,反射性遮罩205c包括具有适当材料的基板,该材料诸如低热膨胀材料或熔融石英。在各种实施例中,材料包括TiO2掺杂的SiO2,或者具有低热膨胀的其他适当材料。反射性遮罩205c包括沉浸于基板上的多个反射层(multiplereflective layer;多个反射层)。多个反射层包括复数个膜对,诸如钼硅(Molybdenum-Silicon;Mo/Si)膜对(例如,在每一膜对中的一层硅之上或之下有一层钼)。或者,多个反射层可包括钼铍(Molybdenum-beryllium;Mo/Be)膜对,或用以高度反射极紫外光的其他适当材料。遮罩205c可进一步包括安置在多个反射层上用于保护的覆盖层,诸如钌(Ruthenium;Ru)层。遮罩进一步包括吸收层,诸如沉积在多个反射层上的钽氮化硼(tantalum boronnitride;TaBN)层。吸收层经图案化以界定一层集成电路(integrated circuit;IC)。或者,另一层可沉积在多个反射层上并且经图案化以界定一层集成电路,从而形成极紫外相移遮罩。
在本揭露的各种实施例中,光阻剂涂布的基板210为半导体晶圆,诸如硅晶圆或待图案化的其他类型的晶圆。
在一些实施例中,极紫外微影工具进一步包括其他模块或者与其他模块整合(或耦接)。
如图1中所示,极紫外辐射源100包括由腔室105包围的靶材液滴产生器115及LPP收集器110。在各种实施例中,靶材液滴产生器115包括用于保持源材料的贮器及喷嘴120,源材料的靶材液滴DP通过该喷嘴供应至腔室105中。
在一些实施例中,靶材液滴(target droplet;DP)为锡(Sn)、锂(Li),或Sn和Li的合金的液滴。在一些实施例中,靶材液滴DP各自具有范围从约10微米(μm)至约100μm的直径。例如,在一实施例中,靶材液滴DP为具有约10μm至约100μm的直径的锡液滴。在其他实施例中,靶材液滴DP为具有约25μm至约50μm的直径的锡液滴。在一些实施例中,靶材液滴DP是以范围从每秒约50滴(亦即,约50Hz的喷射频率)至每秒约50000滴(亦即,约50kHz的喷射频率)的速率通过喷嘴120提供。
参看回到图1,由激发激光源300产生的激发激光LR2为脉冲激光。脉冲激光LR2是由激发激光源300产生。激发激光源300可包括激光产生器310、激光引导光学元件320及聚焦装置330。在一些实施例中,激光源310包括二氧化碳(CO2)或钕掺杂的钇铝石榴石(neodymium-doped yttrium aluminum garnet;Nd:YAG)激光源,该激光源具有在电磁光谱的红外区域中的波长。例如,在一实施例中,激光源310具有9.4μm或10.6μm的波长。由激光产生器300产生的激光LR1由激光引导光学元件320引导并且由聚焦装置330聚焦成为激发激光LR2,并且随后被引入极紫外辐射源100中。
在一些实施例中,激发激光LR2包括预热激光及主激光。在该些实施例中,预热激光脉冲(在本文中可互换地称为“预脉冲”)用于加热(预热)给定靶材液滴以产生具有多个较小液滴的低密度靶材喷流,该低密度靶材喷流随后通过来自主激光的脉冲加热(或重热),从而产生增加的极紫外光发射。
在各种实施例中,预热激光脉冲具有100μm或更小的光点大小,并且主激光脉冲具有范围约150μm至约300μm的光点大小。在一些实施例中,预热激光及主激光脉冲具有范围从约10ns至约50ns的脉冲持续时间,以及范围从约1kHz至约100kHz的脉冲频率。在各种实施例中,预热激光及主激光具有范围从约1千瓦(kilowatt;kW)至约50kW的平均功率。在一实施例中,激发激光LR2的脉冲频率与靶材液滴DP的喷射频率匹配。
激发激光LR2经引导通过窗口(或透镜)而进入激发区域ZE。窗口是由对激光束大体上透明的适当材料制成。脉冲激光的产生与通过喷嘴120的靶材液滴DP的喷射同步。当靶材液滴移动通过激发区域时,预脉冲加热靶材液滴并且将靶材液滴转换成为低密度靶材喷流。预脉冲与主脉冲之间的延迟经控制以允许靶材喷流形成且扩张至最佳大小及几何形状。在各种实施例中,预脉冲及主脉冲具有相同的脉冲持续时间及峰值功率。当主脉冲加热目标喷流时,产生高温电浆。电浆发射由收集镜110收集的极紫外辐射EUV。收集器110进一步将极紫外辐射反射且聚焦用于经由曝光装置200执行的微影曝光制程。液滴捕捉器125是用于捕捉过量的靶材液滴。例如,一些靶材液滴可能会被激光脉冲故意地漏失。
参考回到图1,收集器110经设计具有适当的涂布材料及形状,以用作极紫外收集、反射及聚焦的反射镜。在一些实施例中,收集器110经设计以具有椭圆形几何形状。在一些实施例中,收集器100的涂布材料类似于极紫外遮罩的反射性多层。在一些实施例中,收集器110的涂布材料包括多个反射层(诸如复数个钼/硅膜对)且可进一步包括涂布在多个反射层上的覆盖层(诸如钌)以大体上反射极紫外光。在一些实施例中,收集器110可进一步包括光栅结构,该光栅结构经设计以有效地散射引导至收集器110上的激光束。例如,氮化硅层经涂布在收集器110上并且经图案化以具有光栅图案。
在此极紫外辐射源中,由激光应用引起的电浆产生实体碎片,诸如液滴的离子、气体及原子,以及所需的极紫外辐射。有必要防止材料聚集在收集器110上,并且亦防止实体碎片离开腔室105且进入曝光装置200。
如图1中所示,在本实施例中,缓冲气体从第一缓冲气体供应130通过收集器110中的孔供应,激光脉冲通过该孔供应至锡液滴。在一些实施例中,缓冲气体为H2、He、Ar、N2或另一惰性气体。在某些实施例中,由缓冲气体的离子化产生的用作H自由基的H2可用于清洁目的。缓冲气体亦可经由一或多个第二缓冲气体供应135朝向收集器110及/或在收集器110的边缘周围提供。此外,腔室105包括一或多个气体出口140,以便缓冲气体排放到腔室105之外。
氢气对于极紫外辐射具有低吸收性。到达收集器110的涂布表面的氢气与液滴金属化学反应而形成例如金属氢化物的氢化物。当锡(Sn)用作液滴时,形成作为极紫外产生制程的气体副产物的锡烷(SnH4)。气体SnH4随后通过出口140而抽出。
图3图示根据本揭露的实施例的极紫外遮罩(或主光罩)的横截面图。极紫外遮罩包括钼层17及硅层19的多层堆叠20(“钼/硅堆叠20”)。钼/硅堆叠20包括安置在遮罩基板10的第一主表面上的交替的钼层17及硅层19。覆盖层25安置在钼/硅堆叠20上,并且极紫外吸收层或吸收体30安置在覆盖层25上。抗反射层35安置在极紫外吸收层30上。在一些实施例中,背侧的导电层15可选择地沉积在与第一主表面相对的遮罩基板10的第二主表面上。在一些实施例中,导电层15用以通过静电夹持将遮罩固定用于光微影操作。在一实施例中,导电层15是由陶瓷化合物形成,该陶瓷化合物包括氮化铬或用于静电夹持遮罩的任何其他材料。
在本揭露的一些实施例中,遮罩基板10是由低热膨胀玻璃材料制成,该材料包括氧化钛掺杂的二氧化硅,或任何其他适当的低热膨胀材料,诸如石英、硅、碳化硅、黑金刚石及/或可最小化归因于极紫外光微影环境中的遮罩加热的影像失真的技术中已知的其他低热膨胀物质。遮罩基板10具有低缺陷位准,诸如高纯度单晶基板,及低位准的表面粗糙度,如使用原子力显微镜所量测。
在本揭露的一些实施例中,通过使用对于多层内部的每一层的适当厚度,沉积在遮罩基板10上的交替钼层17与硅层19的多层堆叠横跨具有不同折射率的钼层与硅层之间的界面提供了夫瑞奈谐振反射。高品质的反射依赖于通过相位匹配的相长干涉及从不同层反射的光线的强度累加。层的厚度取决于入射光的波长及至极紫外遮罩的入射角。对于特定的入射角,多层堆叠20的各层的厚度经选择以达成对于在多层堆叠20的不同界面处反射的光的最大相长干涉。因此,多层堆叠20的每一层的均匀厚度及低表面粗糙度对于高品质的夫瑞奈谐振反射(Fresnel resonant reflections)是需要的。在本揭露的一些实施例中,多层堆叠20中的每一层的厚度为约5nm至约7nm。
在本揭露的一些实施例中,多层堆叠20包括交替的钼层17及铍层19。在本揭露的一些实施例中,多层堆叠20中的层的数目的范围在20至100之间,尽管任何数目的层是允许的,只要为成像目标基板保持足够的反射率即可。在一些实施例中,反射率高于约70%。在本揭露的一些实施例中,钼/硅多层堆叠20包括钼及硅的约30个至约60个交替层。在本揭露的其他实施例中,钼/硅多层堆叠20包括钼及硅的各自约40个至约50个交替层。
在一些实施例中,形成于多层堆叠20之上的覆盖层25防止多层堆叠20的氧化。在本揭露的一些实施例中,覆盖层25是由包括钌的材料形成。在本揭露的一些实施例中,覆盖层25具有约7nm的厚度。
在覆盖层25之上形成的极紫外吸收层或吸收体30吸收具有在极紫外波长范围中的波长的辐射。在本揭露的一些实施例中,极紫外吸收层30是由单层或多层形成。在本揭露的一些实施例中,极紫外吸收层30是由包括钽化合物的材料形成。在本揭露的一些实施例中,极紫外吸收层30是由TaN或TaBN形成。在本揭露的一些实施例中,用于制造极紫外吸收层30的材料亦包括钼、钯、锆、硅化镍、钛、氮化钛、铬、氧化铬、氧化铝、铝铜合金,或其他适当的材料。极紫外吸收层30的厚度不受限制,只要极紫外遮罩的总反射率大于70%即可。
在本揭露的一些实施例中,安置于极紫外吸收层30之上的抗反射层35是由包括以下材料形成,其包括硅O2、SiN、TaBO、TaO5、Cr2O3、ITO(氧化铟锡),或任何适当的材料。抗反射层35减少光微影辐射中的反射。
在一些实施例中,极紫外遮罩为反射性遮罩,当吸收层30吸收极紫外光时,钼/硅多层堆叠20反射极紫外光。如图3中所示,通过部分地移除抗反射层35及吸收层30而在极紫外遮罩上形成一或多个电路图案50。另外,形成围绕电路图案区域且穿透至基板的黑色边界区域70。进一步,粒子吸引区域(图案)60在其中无电路图案形成的区域中形成。
电路图案是通过使用一或多个微影(例如,电子束微影)及蚀刻操作形成。在蚀刻操作期间,粒子可落在极紫外遮罩的表面上。本揭露的发明者已经发现,与吸收体30及/或抗反射层35的表面相比,当表面为钌表面(覆盖层25)时,粒子更有可能沉积在钌表面上。
在一些实施例中,其中无电路形成的区域由吸收体30覆盖以便极紫外光不被反射。鉴于以上发现,根据本揭露的实施例的极紫外遮罩包括一或多个粒子吸引区域60,其中形成如图3中所示的暴露的覆盖层25的钌表面的精细图案。
当极紫外光施加至极紫外遮罩时,产生局部电浆,如此使得极紫外遮罩带负电。因此,与非导电或低导电层相比,诸如钌层的导电层吸引更多的粒子。
粒子吸引区域60中的精细图案65具有小于极紫外曝光装置的解析度极限的尺寸,并且因此精细图案65不可作为晶圆上的光阻剂图案列印。
在一些实施例中,精细图案65为具有小于λ/(2NA)的节距的线及间距图案,其中λ为极紫外光的波长并且NA为极紫外曝光装置的数值孔径(numerical aperture)。在一些实施例中,NA的范围从约0.2至约0.4。在一些实施例中,线及间距图案的线及/或间距宽度在从约2nm至约20nm的范围中,并且在其他实施例中,该线及/或间距宽度在从约5nm至约10nm的范围中。图案布局中的图案尺寸通常指代形成于晶圆上的实际电路图案尺寸。因此,当极紫外遮罩为4倍遮罩时,极紫外遮罩的图案尺寸为半导体晶圆上的图案尺寸的四倍。在本揭露中,除非另有说明,否则图案尺寸为如在晶圆上形成的图案尺寸。因此,例如,晶圆上的图案尺寸为约10nm,极紫外遮罩上的尺寸为约40nm。
在其他实施例中,精细图案65为具有小于
Figure BDA0002186900380000121
的节距的孔图案。在一些实施例中,孔图案的直径在从约2nm至约20nm的范围中,并且在其他实施例中,该直径在从约5nm至约10nm的范围中。
在一些实施例中,如图4中所示,在待列印的电路图案与粒子吸引区域60之间的距离D1在遮罩上等于或大于约0.5μm。在某些实施例中,D1在遮罩上在约0.5μm至约5.0μm的范围中。
图5A图示根据本揭露的一实施例的粒子吸引区域60的非可列印精细图案,并且图5B图示根据本揭露的另一实施例的非可列印精细图案。
在一些实施例中,其底部的钌表面被暴露的非可列印精细图案在极紫外遮罩(具有小于阈值大小(例如,解析度极限)的大小)上具有正方形或圆形图案,并且周期性地以矩阵布置,如图5A中所示。在一些实施例中,非可列印精细图案515分别具有长度L1及L2并且以节距P1及P2布置。在某些实施例中,L1=L2并且P1=P2。如图5A中所示,非可列印精细图案615经产生以位于距实际电路图案510的距离D1处。在一些实施例中,L1及/或L2的范围从约2nm至约20nm;并且在其他实施例中,L1及/或L2的范围从约5nm至约10nm。在一些实施例中,P1及/或P2的范围从约4nm至约100nm;并且在其他实施例中,P1及/或P2的范围从约10nm至约50nm。
在图5B中,将周期性布置在一方向上的线及间距图案用作非可列印精细图案525。钌表面在图案的底部暴露。线及间距图案具有宽度(长度)L3及节距P3,该宽度及节距小于阈值(例如,解析度极限),如图5B中所示。非可列印虚设图案525的图案密度可定义为(L3/P3)。如图5B中所示,非可列印虚设图案525经产生以具有距实际电路图案520的距离D1。在一些实施例中,L3的范围从约2nm至约20nm;并且在其他实施例中,L3的范围从约5nm至约10nm。在一些实施例中,P3的范围从约4nm至约100nm;并且在其他实施例中,P3的范围从约10nm至约50nm。
在一些实施例中,其中暴露钌表面的总区域(包括电路图案区域及粒子吸引区域)等于或大于极紫外遮罩的区域的70%;并且在其他实施例中,等于或大于极紫外遮罩的区域的80%。
在一些实施例中,一或多个粒子落在粒子吸引区域上。在一些实施例中,粒子的总数小于100。粒子包括金属粒子(Ti、Mo、Al、Ru、Ta、Fe及/或Ni)、半导体粒子(例如,硅)、有机粒子、陶瓷或介电粒子(氧化硅、氮化硅)等等。
图6图示根据本揭露的实施例的制造用于半导体制造操作的极紫外遮罩的方法的流程图。应了解,在顺序制造制程期间,可在图6中的各阶段之前、期间及之后提供一或多个额外操作;并且为了方法的额外实施例,可替代或消除下文描述的一些操作。操作/制程的次序可以互换。
在图6的步骤S601中,半导体装置中的一层的电路图案布局是通过遮罩设计工具(例如,电子设计自动(electronic design automation;EDA)工具)设计。一层的电路图案布局是用于一极紫外遮罩。在一些实施例中,利用多重图案化方法,并且在此情况下,将一层的电路图案布局划分为多个极紫外遮罩。电路图案布局通常是由以例如GDS-II流格式或以Open Artwork System Interchange Standard格式的多边形数据表示。
在步骤S602处,由极紫外遮罩数据产生装置获取由遮罩设计工具建立的电路图案布局数据。在一些实施例中,极紫外遮罩数据产生装置为与遮罩设计工具不同的计算机系统;并且在其他实施例中,该装置为遮罩设计工具的一部分。
随后,在步骤S603处,识别不包括任何电路图案并且等于或大于阈值大小的一或多个非图案区域。在一些实施例中,阈值大小为1μm2、5μm2、10μm2或100μm2,或者1μm2至100μm2之间的所有数目。当识别区域时,该区域是通过避免相距电路图案区域距离D1之内的边界区域来计算。
在步骤S604处,粒子吸引区域的精细图案是对于在步骤S603处识别的大的非图案区域产生。
随后,在步骤S605处,粒子吸引区域的原始电路图案布局数据及精细图案数据经组合以产生用于电子束微影工具的遮罩图数据(mask drawing data)。在一些实施例中,电子束微影工具需要其自身的数据格式,并且在此情况下,对遮罩图数据执行格式转换操作。
在步骤S606处,根据遮罩图数据,在极紫外遮罩毛坯上形成的光阻剂层用电子束曝光。随后,在步骤S607处利用显影溶液将经曝光的光阻剂层显影,并且通过将经显影的光阻剂图案用作蚀刻遮罩,抗反射层35及吸收体30是通过干式及/或湿式蚀刻而蚀刻,并且遮罩图案经形成于遮罩基板上。随后,在S608处,执行了遮罩检查及遮罩修复操作。在一些实施例中,在遮罩检查操作中,将粒子吸引区域60从检查区域排除。此外,在一些实施例中,在遮罩修复操作中,将安置于粒子吸引区域60中的缺陷图案及粒子从修复操作中排除。
图7A及图7B图示根据本揭露的实施例的光遮罩数据产生装置。图7A为根据如本文所述的一或多个实施例的执行光遮罩数据产生过程的计算机系统的示意图。上述实施例的制程、方法及/或操作的全部或部分可使用计算机硬件及在其上执行的计算机程序来实现。在图7A中,计算机系统900具有计算机901,该计算机包括光盘只读记忆体(例如,CD-ROM或DVD-ROM)驱动器905及磁盘驱动器906、键盘902、鼠标903,及屏幕904。
图7B为图示计算机系统900的内部配置的图。在图7B中,除了光盘驱动器905及磁盘驱动器906之外,计算机901具有:一或多个处理器911,诸如微处理单元(microprocessing unit;MPU);ROM 912,其中储存诸如启动程序的产生;随机存取记忆体(randomaccess me钼ry;RAM)913,连接至MPU 911并且其中暂时地储存应用程序且提供了临时储存区域;硬盘914,其中储存应用程序、系统程序及数据;及总线915,连接MPU 911、ROM 912等等。应注意,计算机901可包括用于提供连接至LAN的网络卡(未图示)。
用于使计算机系统900执行上述实施例中的光遮罩数据产生装置的功能的程序可储存于光盘921或磁盘922中,该光盘921或磁盘922插入于光盘驱动器905或磁盘驱动器906中,并且随后传输至硬盘914。或者,程序可经由网络(未图示)传输至计算机901并且储存于硬盘914中。在执行时,程序经载入至RAM 913中。程序可从光盘921或磁盘922载入,或者直接从网络载入。
程序不一定必须包括例如操作系统(operating system;OS)或第三方程序以使计算机901执行在前述实施例中的光遮罩数据产生装置的功能。程序可仅包括用于在受控模式下调用适当功能(模块)并且获得所需结果的命令部分。
在一些实施例中,在程序中,由程序实现的功能不包括可仅由硬件实现的功能。在一些实施例中,例如,在获取信息的获取单元或在输出信息的输出单元中,可仅由硬件(诸如网络接口)实现的功能不包括在由上述程序实现的功能中。此外,执行程序的计算机可为单个计算机或可为多个计算机。
此外,在一些实施例中,用于实现光遮罩数据产生装置的功能的程序的全部或部分为用于光遮罩制造制程的另一程序的一部分。此外,在一些实施例中,用于实现光遮罩数据产生装置的功能的程序的全部或部分是由ROM实现,该ROM由例如半导体装置组成。
图8图示根据本揭露的另一实施例的极紫外遮罩的横截面图。相对于上述实施例解释的材料、配置、尺寸、制程及/或操作可用于以下实施例,并且可以省略其详细解释。
在上述实施例中,一或多个粒子吸引区域60包括暴露覆盖层25的钌表面的精细图案65(见图3)。在以下实施例中,薄的导电层80形成于粒子吸引区域中,如图8中所示。
使用充分吸收或传输极紫外光的材料作为导电层80。在一些实施例中,导电层80具有比最顶层(除了导电层80之外)更高的导电性。在某些实施例中,导电层80具有比抗反射层35及/或吸收层30更高的导电性。
在一些实施例中,导电层80是由Ru、Zr、Mo或其他适当的导电材料制成。在一些实施例中,导电层80的厚度是在从约0.2nm至约2nm的范围中。在一些实施例中,导电层80是仅安置在粒子吸引区域中;并且在其他实施例中,导电层80经安置在抗反射层35的整个上表面上。如图9中所示,在一些实施例中,导电层80为在每一粒子吸引区域60之内的一连续图案。在其他实施例中,多个图案化导电层(相同或不同大小)经安置在每一粒子吸引区域中,如图10中所示,导电层80中的每一者皆大于极紫外遮罩所使用的极紫外曝光装置的解析度极限。在其他实施例中,多个图案化导电层小于极紫外曝光装置的解析度极限。
上述实施例的极紫外遮罩用于极紫外微影中。
图11图示根据本揭露的实施例的吸收体表面上及钌表面上的粒子计数。在遮罩用于不同极紫外曝光工具A及B之后对粒子进行计数。如图11中所示,钌表面比吸收体表面更对粒子吸引。
应将理解,在本文中并非必须论述所有优点,没有特定优点对于所有实施例或实施例是需要的,并且其他实施例或实施例可以提供不同的优点。
根据本揭露的一态样,极紫外(EUV)遮罩包括:多层钼/硅堆叠,包含安置于遮罩基板的第一主表面之上的交替的钼及硅层;覆盖层,由钌制成并且安置在多层钼/硅堆叠之上;及在覆盖层上的吸收体层。极紫外遮罩包括电路图案区域及粒子吸引区域,并且覆盖层在粒子吸引区域的图案的底部处暴露。在一或多个上述及以下实施例中,粒子吸引区域中的图案具有小于极紫外遮罩所使用的极紫外曝光装置的解析度极限的尺寸。在一或多个上述及以下实施例中,粒子吸引区域中的图案为线及间距图案。在一或多个上述及以下实施例中,线及间距图案中的节距小于晶圆上的λ/(2NA),其中λ是极紫外光的波长并且NA是极紫外曝光装置的数值孔径。在一或多个上述及以下实施例中,至少一个粒子经安置在粒子吸引区域中暴露的覆盖层上。在一或多个上述及以下实施例中,电路图案区域及粒子吸引区域彼此间隔开至少约0.5μm。
根据本揭露的另一态样为一种制造半导体装置的方法,包含通过使用前述的极紫外遮罩在基板上形成光阻剂图案。于一些实施例中,方法进一步包含吸附在粒子吸引区域中曝光的覆盖层上的至少一个粒子。
根据本揭露的另一态样,极紫外(EUV)遮罩包括:多层钼/硅堆叠,包含安置于遮罩基板的第一主表面之上的交替的钼及硅层;覆盖层,由钌制成并且安置在多层钼/硅堆叠之上;及在覆盖层上的吸收体层。极紫外遮罩包括电路图案区域及粒子吸引区域,并且导电层在粒子吸引区域中的吸收体层之上形成为最顶层。在一或多个上述及以下实施例中,导电层具有比吸收体层更高的导电性。在一或多个上述及以下实施例中,极紫外遮罩包括安置在该吸收体层上的抗反射层,并且导电层经安置在该抗反射层上。在一或多个上述及以下实施例中,导电层具有比抗反射层更高的导电性。在一或多个上述及以下实施例中,导电层是由钌、锆或钼制成。在一或多个上述及以下实施例中,导电层的厚度在从约0.2nm至约2nm的范围中。在一或多个上述及以下实施例中,至少一个粒子经安置在粒子吸引区域中的导电层上。在一或多个上述及以下实施例中,电路图案区域及粒子吸引区域彼此间隔开至少约0.5μm。在一或多个上述及以下实施例中,导电层经安置在电路图案区域中。
根据本揭露的另一态样,在制作极紫外遮罩的方法中,获取电路图案数据。识别一或多个非图案区域。对于一或多个非图案区域产生粒子吸引图案。遮罩图数据是从电路图案数据及粒子吸引图案产生。极紫外遮罩是使用经产生的遮罩图数据制造。在一或多个上述及以下实施例中,方法还包含检查制造的极紫外遮罩,其中未检查一或多个非图案区域。在一或多个上述及以下实施例中,粒子吸引图案包括线及间距图案。在一或多个上述及以下实施例中,线及间距图案中的节距小于晶圆上的λ/(2NA),其中λ是极紫外光的波长并且NA是极紫外曝光装置的数值孔径。在一或多个上述及以下实施例中,粒子吸引图案包含对于一或多个非图案区域的每一者的一连续图案。在一或多个上述及以下实施例中,粒子吸引图案包含对于一或多个非图案区域的每一者的多个图案,该些图案的每一者大于极紫外遮罩所使用的极紫外曝光装置的解析度极限。在制造半导体装置的方法中,光阻剂图案是通过使用根据一或多个上述及以下实施例的极紫外遮罩于基板上形成。在一或多个上述及以下实施例中,至少一个粒子经吸附在粒子吸引区域中暴露的覆盖层上。
前述内容概括了若干实施例或实施例的特征,以便熟悉该项技术者可较佳地理解本揭露的态样。熟悉该项技术者应理解,其可轻易地使用本揭示内容作为用于设计或修改其他制程及结构的基础,该些其他制程及结构用于实现本文介绍的实施例或实施例的相同目的及/或达成相同优点。熟悉该项技术者亦应了解,该些同等构造不背离本揭露的精神及范畴,且在不背离本揭露的精神及范畴的情况下,熟悉该项技术者可以进行各种改变、替代及更改。

Claims (17)

1.一种极紫外(extreme ultraviolet;EUV)遮罩,其特征在于,包含:
一多层钼/硅堆叠,包括安置在一遮罩基板的一第一主表面上的交替的钼层及硅层;
一覆盖层,由钌制成并且安置在该多层钼/硅堆叠上;以及
在该覆盖层上的一吸收体层,
其中该极紫外遮罩包括一电路图案区域及一粒子吸引区域,
该覆盖层在该粒子吸引区域的图案的底部处暴露;
该粒子吸引区域中的该些图案具有小于该极紫外遮罩所使用的一极紫外曝光装置的一解析度极限的尺寸;及
该电路图案区域及该粒子吸引区域彼此间隔开至少0.5μm。
2.根据权利要求1所述的极紫外遮罩,其特征在于,该粒子吸引区域中的该些图案为线及间距图案。
3.一种制造一半导体装置的方法,其特征在于,包含:
通过使用如权利要求1所述的极紫外遮罩在一基板上形成一光阻剂图案。
4.根据权利要求3所述的方法,其特征在于,进一步包含:吸附在该粒子吸引区域中曝光的覆盖层上的至少一个粒子。
5.一种极紫外(extreme ultraviolet;EUV)遮罩,其特征在于,包含:
一多层钼/硅堆叠,包括安置在一遮罩基板的一第一主表面上的交替的钼层及硅层;
一覆盖层,由钌制成并且安置在该多层钼/硅堆叠上;以及
在该覆盖层上的一吸收体层,
其中该极紫外遮罩包括一电路图案区域及一粒子吸引区域,
一导电层在该粒子吸引区域中的该吸收体层之上形成为一最顶层;
该粒子吸引区域中的该些图案具有小于该极紫外遮罩所使用的一极紫外曝光装置的一解析度极限的尺寸;及
该电路图案区域及该粒子吸引区域彼此间隔开至少0.5μm。
6.根据权利要求5所述的极紫外遮罩,其特征在于,该导电层具有比该吸收体层更高的一导电性。
7.根据权利要求5所述的极紫外遮罩,其特征在于,进一步包含安置在该吸收体层上的一抗反射层,
其中该导电层安置在该抗反射层上。
8.根据权利要求7所述的极紫外遮罩,其特征在于,该导电层具有比该抗反射层更高的一导电性。
9.根据权利要求5所述的极紫外遮罩,其特征在于,该导电层是由钌、锆或钼制成。
10.根据权利要求5所述的极紫外遮罩,其特征在于,该导电层的一厚度在从0.2nm至2nm的一范围中。
11.根据权利要求5所述的极紫外遮罩,其特征在于,该至少一个粒子经安置在该粒子吸引区域中的该导电层上。
12.一种制造一极紫外遮罩的方法,其特征在于,包含:
获取电路图案数据;
识别一或多个非图案区域;
对于该一或多个非图案区域产生粒子吸引图案;
从该电路图案数据及该些粒子吸引图案产生遮罩图数据;以及
使用该产生的遮罩图数据制造该极紫外遮罩;
其中,该粒子吸引区域中的该些图案具有小于该极紫外遮罩所使用的一极紫外曝光装置的一解析度极限的尺寸;及
该电路图案区域及该粒子吸引区域彼此间隔开至少0.5μm。
13.根据权利要求12所述的方法,其特征在于,进一步包含检查该制造的极紫外遮罩,其中未检查该一或多个非图案区域。
14.根据权利要求12所述的方法,其特征在于,该些粒子吸引图案包括线及间距图案。
15.根据权利要求14所述的方法,其特征在于,该些线及间距图案中的一节距小于一晶圆上的λ/(2NA),其中λ是极紫外光的一波长并且NA是一极紫外曝光装置的一数值孔径。
16.根据权利要求14所述的方法,其特征在于,该些粒子吸引图案包含对于该一或多个非图案区域的每一者的一连续图案。
17.根据权利要求14所述的方法,其特征在于,该些粒子吸引图案包含对于一或多个非图案区域的每一者的多个图案,该些图案的每一者大于该极紫外遮罩所使用的一极紫外曝光装置的一分辨率极限。
CN201910818426.0A 2018-08-31 2019-08-30 极紫外遮罩及其制造方法 Active CN110874009B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862726065P 2018-08-31 2018-08-31
US62/726,065 2018-08-31
US16/530,218 US11275301B2 (en) 2018-08-31 2019-08-02 Extreme ultraviolet mask and method of manufacturing the same
US16/530,218 2019-08-02

Publications (2)

Publication Number Publication Date
CN110874009A CN110874009A (zh) 2020-03-10
CN110874009B true CN110874009B (zh) 2023-06-13

Family

ID=69641118

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910818426.0A Active CN110874009B (zh) 2018-08-31 2019-08-30 极紫外遮罩及其制造方法

Country Status (3)

Country Link
US (3) US11275301B2 (zh)
CN (1) CN110874009B (zh)
TW (1) TWI709812B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11275301B2 (en) * 2018-08-31 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask and method of manufacturing the same
KR20210155863A (ko) * 2020-06-16 2021-12-24 삼성전자주식회사 극자외선 리소그래피용 위상 반전 마스크 및 이를 이용한 반도체 소자의 제조 방법
KR20220044016A (ko) 2020-09-29 2022-04-06 삼성전자주식회사 극자외선(euv) 포토마스크 및 이를 이용한 반도체 장치 제조 방법
US20220121101A1 (en) * 2020-10-16 2022-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Absorber materials for extreme ultraviolet mask
KR20220168108A (ko) * 2021-06-15 2022-12-22 에스케이하이닉스 주식회사 극자외선 리소그래피용 위상 시프트 마스크 및 제조 방법
US20230013260A1 (en) * 2021-07-09 2023-01-19 Taiwan Semiconductor Manufacturing Co., Ltd. Interstitial type absorber for extreme ultraviolet mask
US11914282B2 (en) * 2021-10-25 2024-02-27 Samsung Electronics Co., Ltd. System of measuring image of pattern in scanning type EUV mask

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9075316B2 (en) * 2013-11-15 2015-07-07 Globalfoundries Inc. EUV mask for use during EUV photolithography processes
US9436078B2 (en) * 2015-01-30 2016-09-06 Globalfoundries Inc. Method for a low profile etchable EUV absorber layer with embedded particles in a photolithography mask
US9588440B2 (en) 2015-02-12 2017-03-07 International Business Machines Corporation Method for monitoring focus in EUV lithography
WO2017090485A1 (ja) 2015-11-27 2017-06-01 Hoya株式会社 マスクブランク用基板、多層反射膜付き基板、反射型マスクブランク及び反射型マスク、並びに半導体装置の製造方法
KR20180057813A (ko) 2016-11-22 2018-05-31 삼성전자주식회사 극자외선 리소그래피용 위상 반전 마스크
US10838305B2 (en) * 2018-06-29 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Lithographic mask correction using volume correction techniques
US11275301B2 (en) * 2018-08-31 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask and method of manufacturing the same

Also Published As

Publication number Publication date
TW202013056A (zh) 2020-04-01
US11774844B2 (en) 2023-10-03
CN110874009A (zh) 2020-03-10
TWI709812B (zh) 2020-11-11
US20230367195A1 (en) 2023-11-16
US11275301B2 (en) 2022-03-15
US20220197127A1 (en) 2022-06-23
US20200073225A1 (en) 2020-03-05

Similar Documents

Publication Publication Date Title
CN110874009B (zh) 极紫外遮罩及其制造方法
US11789355B2 (en) Extreme ultraviolet mask and method of manufacturing the same
TWI528116B (zh) 形成光譜純度濾光器之方法
KR101903518B1 (ko) 스침 입사 반사기, 리소그래피 장치, 스침 입사 반사기 제조 방법, 및 디바이스 제조 방법
JP2015531890A (ja) 粘着性の表面を用いたレチクルクリーニング
JP2006352134A (ja) Euvマスクおよびその製造方法
TWI539242B (zh) 微影裝置及元件製造方法
TWI510821B (zh) 光譜純度濾光器
US20230341767A1 (en) Method of fabricating and servicing a photomask
JP5016017B2 (ja) 放射源、リソグラフィ装置及びデバイス製造方法
CN110780532A (zh) 极紫外光光罩及其制造方法
US11360384B2 (en) Method of fabricating and servicing a photomask
JP2010045355A (ja) 放射源、リソグラフィ装置、および、デバイス製造方法
CN113359384A (zh) 极紫外线微影方法、极紫外线遮罩及其形成方法
CN111258174A (zh) 光微影图案化系统
TWI728520B (zh) 極紫外線反射結構、極紫外線聚光器及極紫外線光罩
JP2005302963A (ja) 露光装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant