CN110268404A - 用于功能对等检测中的仿真方法 - Google Patents

用于功能对等检测中的仿真方法 Download PDF

Info

Publication number
CN110268404A
CN110268404A CN201980000895.XA CN201980000895A CN110268404A CN 110268404 A CN110268404 A CN 110268404A CN 201980000895 A CN201980000895 A CN 201980000895A CN 110268404 A CN110268404 A CN 110268404A
Authority
CN
China
Prior art keywords
circuit
unit
simulation result
level
behavioral scaling
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201980000895.XA
Other languages
English (en)
Other versions
CN110268404B (zh
Inventor
廖璐
王美
李跃平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Priority to CN202010818100.0A priority Critical patent/CN111931444B/zh
Publication of CN110268404A publication Critical patent/CN110268404A/zh
Application granted granted Critical
Publication of CN110268404B publication Critical patent/CN110268404B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3323Design verification, e.g. functional simulation or model checking using formal methods, e.g. equivalence checking or property checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/16Equivalence checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/38Circuit design at the mixed level of analogue and digital signals

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

本发明涉及一种功能对等检测方法,包括接收单元列表,接收单元列表中的单元的模拟约束以生成全覆盖输入激励;根据行为代码使用全覆盖输入激励执行行为级仿真,以生成模拟输出值的行为级仿真结果;根据电路级网表使用相同的全覆盖输入激励执行电路级仿真,以产生模拟输出值的电路级仿真结果;并比较行为级仿真结果和电路级仿真结果,以生成模拟值自动比较的比较报告。

Description

用于功能对等检测中的仿真方法
发明领域
本发明涉及电子电路的计算机辅助设计,并且尤其涉及一种用于功能对等检测的仿真方法。
发明背景
用于设计和制造集成电路的设计流程通常需要许多阶段。首先,设计晶体管级电路并在其上执行电路级仿真以符合规范。随后,使用硬件描述语言(HDL)中的行为代码比如超高速集成电路HDL(VHDL)或Verilog HDL来执行全芯片模拟和/或混合信号电路的行为级仿真。在电路级仿真中,根据晶体管,电容器,电阻器和导线的电路原理图描述所述电路。在所述行为级仿真中,根据电路输入和输出的行为描述所述电路。所述行为代码的准确性可能会影响所述全芯片Verilog行为级仿真的准确性,效率和覆盖范围。为了确保所述行为代码的准确性,需要在行为代码和电路原理图之间执行所述功能对等检测。所述功能对等检测的一种形式是将行为代码的仿真结果与晶体管级电路的仿真结果进行比较。电子设计自动化(EDA)工具通常用于执行功能对等检测。
传统地,电路设计者基于对电路设计功能的工程理解手动创建用于行为级仿真的输入激励,并且在行为级建模中采用逻辑值,导致仿真中输入组合的不完全覆盖和模拟值检测的缺乏。
因此,需要一种仿真方法来自动化功能对等检测过程,提供输入激励的全覆盖,并且在行为级建模和仿真中考虑电路设计的模拟值,并且在行为级仿真和所述电路级仿真之间执行所述模拟值自动比较。
发明内容
根据本发明的一个方面,功能对等检测方法包括:接收单元列表中单元的模拟设计约束;根据该模拟设计约束生成全覆盖输入激励;使用该全覆盖输入激励根据所述行为代码执行行为级仿真以生成行为级仿真结果;使用该全覆盖输入激励根据所述电路级网表执行电路级仿真以生成电路级仿真结果;并且比较所述行为级仿真结果和所述电路级仿真结果以生成模拟值自动比较的比较报告。
根据本发明的另一个方面,提供了一种执行功能对等检测的计算机。该计算机包括:配置为执行计算机程序的处理器,和耦合到所述处理器并且配置为存储所述可执行计算机程序的非暂时性计算机可读存储介质。所述计算机程序包括:配置为接收单元列表和该单元列表中单元的模拟设计约束,并且根据该模拟设计约束来生成全覆盖输入激励的输入模块;配置为使用所述全覆盖输入激励根据所述行为代码执行行为级仿真以生成行为级仿真结果的行为级仿真器;和配置为使用所述全覆盖输入激励根据所述电路级网表执行电路级仿真来生成电路级仿真结果的电路级仿真器,并且比较所述行为级仿真结果和所述电路级仿真结果来生成模拟值自动比较的比较报告。
在阅读了在各种不同的附图和图中阐明的优选实施例的详细描述后,本发明这些和其他目标对于那些本领域的普通技术人员将无疑变得显而易见。
附图简要说明
图1是根据本发明的一个实施例的对等检测系统。
图2是图1中对等检测系统采用的行为仿真测试台。
图3是图1中对等检测系统采用的SPICE模板。
图4是图1中对等检测系统采用的仿真方法的流程图。
图5示出了将模拟约束应用到图1中的对等检测系统的行为级仿真的三个实施例。
图6示出了由图1中对等检测系统生成的用于实值自动比较的示例性行为级仿真结果文件。
图7示出了在图1对等检测系统中使用了检测窗口的用于实值自动比较的示例性功能对等检测。
图8示出了由图1对等检测系统生成的用于逻辑值自动比较的示例性行为级仿真结果文件。
图9示出了按照本发明的一个实施例使用检测窗口的用于逻辑值自动比较的示例性功能对等检测。
图10是对等检测系统1采用的功能对等检测方法10的流程图。
图11是根据本发明的一个实施例使用模拟约束用来执行功能对等检测的计算机程序11的示意图。
具体实施方式
如本文中使用的,术语“电路级设计”是指通过电子部件和互联来表示的电路或系统,和术语“电路级仿真”是指对所述电路级设计的所有节点的模拟波形的仿真。所述电路级设计和所述电路级仿真可以分别由电路级网表和具有集成电路加重(SPICE)仿真器的仿真程序来描述和仿真。所述电路级网表包括电路级设计中的电子部件列表和连接这些电子部件的节点列表,并且可以是SPICE网表。所述SPICE仿真器可以是FastSPICE工具比如Spectre XPS,FineSim-Pro,或CustomSim。术语“行为级设计”是指依照所述电路或所述系统的输入激励由输出响应的行为定义的电路或系统,和术语“行为级仿真”是指对所述行为级设计的所有节点数字波形的仿真。所述行为级设计和所述行为级仿真可以分别由硬件描述语言(HDL)比如超高速集成电路HDL(VHDL)和Verilog HDL以及相应的HDL仿真器来建模和仿真。
图1是根据本发明的一个实施例的对等检测系统1。该对等检测系统1包括:对等检测工具10,单元列表12,单元库14,行为级仿真器16和电路级仿真器18。该对等检测系统1利用对等检测工具10来自动化全芯片电路中的模拟和/或混合信号单元的行为级设计和电路级设计之间的功能对等检测,为所述行为级仿真和所述电路级仿真生成所述全覆盖输入激励并且使用来自所述行为级仿真和所述电路级仿真的仿真输出的模拟值来执行功能对等检测。可以从全芯片模拟电路或混合信号电路中提取单元列表12。单元库14可能包括用于所述全芯片电路的行为代码格式和/或SPICE网表格式的标准单元的集合。行为级仿真器16可以是Verilog仿真器以及电路级仿真器18可以是FastSPICE仿真器。
将对等检测工具10耦合到单元列表12,单元库14,行为级仿真器16和电路级仿真器18来获取单元列表12,从单元库14获取由行为代码表示的所需标准单元,在行为级仿真器16上执行所述行为级仿真,之后,从单元库14获取SPICE网表,在电路级仿真器18上执行所述电路级仿真并且在电路级仿真器18上比较仿真结果,以及从电路级仿真器18处接收比较报告。对等检测工具10包括输入/输出(IO)配置表100,行为仿真测试台102,SPICE模板104和比较报告106。
IO配置表100包括在单元列表12中每个单元的输入引脚和输出引脚的列表及其设计约束11,并且可以由IO配置表脚本根据单元列表12生成并且通过从输入设备比如键盘、触摸屏、麦克风等接收的用户输入修改。IO配置表100可以用于生成用于所述行为级仿真和所述电路级仿真的输入激励和其他配置文件。设计约束11可以是逻辑值或模拟值。表1和表2示出了IO配置表100的实施例并且分别包括了单元的输入和输出引脚的输入激励约束和输出比较约束。可以组合表1和表2来形成一个IO配置表。
表1
表2
表1示出了当所述引脚被用作输入引脚时所选单元每个引脚的约束。所述引脚的约束包括IO类型、端口类型、实际电压、输入高阈值电压Vih、输入低阈值电压Vil、强制性输入、限制性输入、优先级、周期、未用引脚和电源引脚。表2示出了当所述引脚被用作输出引脚时所选单元每个引脚的约束。所述引脚的约束包括IO类型、端口类型、实际电压、输出高阈值电压Voh、输出低阈值电压Vol、未用引脚、输出负载、“Z”状态检测、“X”“状态检测和仿真精度。
所述IO类型指示引脚是输入型、输出型或输入/输出型。所述端口类型指定引脚的输入/输出型的端口类型是输入型或输出型,并且对于所述引脚的所述输入型或所述输出型,与引脚的IO类型相同。所述输入类型的实际电压指定是否在所述行为级仿真中采用具有输入高阈值电压Vih与输入低阈值电压Vil的模拟值作为输入激励;输出类型的实际电压指定是否对所述电路级仿真中具有输出高阈值电压Voh或输出低阈值电压Vol的输出执行实值自动比较,如果设置所述输出类型的实际电压被为“是”,则对等检测工具10可以在电路级仿真中对输出信号都应用逻辑值检测和模拟值检测;如果设置所述实际电压为“否”,则所述对等检查工具10可以在所述电路级仿真中对所述输出信号仅应用逻辑值检测。Vih指定输入高阈值电压的模拟值;Vil指定输入低阈值电压的模拟值;Vol指定输出高阈值电压的模拟值;并且Vol指定输出低阈值电压的模拟值。如果使用所述实际电压,当被提供时则Vih,Vil,Voh或Vol是所述指定的模拟值,当未被提供时则是默认模拟值,默认模拟值为电源电压或接地电压。所述强制性输入指定是否将指定引脚的输入信号设置为逻辑值1,逻辑值0,多驱动状态或浮动状态(分别由“1”,“0”,“X”,“Z”表示,),或在逻辑值1和0之间交替所述输入信号(由“m”表示)。所述限制性输入指定引脚的输入信号对另一个引脚的另一输入信号的依赖性。例如,在表1中,引脚en_vpen上的输入信号取决于引脚en_vpe上输入信号的反转。所述优先级指定扫描序列的顺序,并且在一些实施例中,“1”表示扫描序列中的最后输入信号,以及当未指定其优先级时,扫描序列中的输入信号的顺序可以是任意的。例如,在表1中,引脚en_vpe,en_use1[2:0]和vgsw上的输入信号分别是扫描序列中的最后一个,倒数第二个和倒数第三个信号,并且其他输入信号可以在扫描序列中以任意顺序排列。周期指定输入激励组合的持续时间。例如,在表1中,输入激励将以交替方式输入en_vpe引脚持续100ns的时间。未用引脚和电源引脚分别指定未进行使用的引脚和用于提供电源的输入引脚。例如,在表1中,pchr_n引脚未使用,因此将其置于高阻抗负载并且因此不会对其以及引脚v2x,vdd,vssx,vss电源检查功能对等。输出负载指定连接到输出引脚的输出负载。例如,在表2中,引脚out_vgsv[2:0]的输出负载为1f。“Z”状态检测和“X”状态检测分别指定在电路级仿真中是否检查输出引脚上的信号的多驱动状态和浮动状态。例如,在表2中,针对多驱动状态和浮动状态两者检测引脚out_vgsv[2:0]。仿真精度指定电路级仿真的精度。
尽管未示出,表1和/或2还可以包括指定有效窗口的检测窗口字段,在检测窗口中可以比较所述行为级仿真和所述电路级仿真的仿真结果。
行为仿真测试台102可以是用于为Verilog仿真建立仿真环境的Verilog测试台文件,并且类似地,SPICE模板104可以是用于为XPS仿真和检测功能对等建立仿真环境的XPS模板文件。比较报告106是描述在单元列表12中每一个单元输出引脚的功能对等性并且由电路级仿真器108生成的报告文件。行为仿真测试台102包括行为代码1020,输入激励1022和监视配置1024。SPICE模板104包括行为级仿真结果1040,SPICE网表1042和SPICE配置1044。行为代码1020和SPICE网表1042可以分别地由行为代码脚本和SPICE网表脚本根据单元列表12和单元库14生成。输入激励1022,监视配置1024和SPICE配置1044可以由输入激励脚本,监视配置脚本和SPICE配置脚本根据IO配置表100来分别生成。行为级仿真结果1040可以由行为级仿真器16来生成,并且可以是test.vec文件,其包含所有输入引脚的所述输入激励和来自行为级仿真的所有输出引脚的输出值。输入激励1022包括馈送到单元的输入引脚的全覆盖输入组合。监视配置1024包括要捕获的单元的输入和输出信号的列表。SPICE配置1044包括含有仿真精度的SPICE仿真参数,“Z”状态检测选项和“X”状态检测选项。
图2是对等检测系统1中采用的行为仿真测试台102,其中行为代码1020包括单元202和单元库200,通过限制性输入222、强制性输入224、优先级226、周期228与Vih/Vil 220生成输入激励1022,并且,监视配置1024包括引脚名称240、IO类型242,port类型244、检测窗口246、Vih/Vil 220、Voh/Vol 248和周期228。图3是对等检测系统1中采用的SPICE模板104,其中行为级仿真结果1040包括信号类型340、信号切换值342和检测窗口246,SPICE网表1042包括单元库300和单元202;以及,SPICE配置1044包括输出负载320,仿真精度322,“Z”状态检测选项324和“X”状态检测选项326。
图4是由对等检测系统1采用的仿真方法4的流程图。该仿真方法4包括步骤S400至步骤S414以使功能对等检测自动化。使用步骤S404至步骤S406来生成IO配置表100,并且使用步骤S408至步骤S414检测功能对等。任何合理的技术变化或步骤调整都在本发明的范围内。步骤S400至步骤S414详述如下:
步骤S400:接收单元列表12;
步骤S402:根据单元列表12生成IO配置表100;
步骤S404:接收单元列表12中的单元的约束;
步骤S406:使用所述约束修改IO配置表100;
步骤S408:根据修改的IO配置表100生成全覆盖输入激励;
步骤S410:使用所述输入激励执行行为级仿真以生成行为级仿真结果1040;
步骤S412:根据修改的IO配置表100执行电路级仿真以生成电路级仿真结果;
步骤S414:比较所述行为级仿真结果1040和所述电路级仿真结果以生成比较报告106。
步骤的顺序不限于图4。在步骤S400中,对等检测工具10接收全芯片模拟或混合信号电路的单元列表12,并且在步骤S402中,对等检测工具10生成包括单元列表12中每个单元的引脚的IO配置表100。电路设计者可以将仿真约束输入到IO配置表100中来生成用于单元列表12中每个单元的输入引脚的输入激励1022。具体地,该对等检测工具10在步骤S404中接收单元列表12中的单元的约束,并且在步骤S406中在IO配置表100中写入该约束来修改IO配置表100。所述约束可以如表1和表2中讨论的可以是逻辑值或模拟值。随后,在步骤S408中,对等检测工具10根据修改的IO配置表100为每个单元的每个输入引脚生成全覆盖输入激励来提供输入激励1022。对等检测工具10还可以根据单元列表12提取行为代码1020并且根据IO配置表100来生成监视配置1024。在步骤S410中,行为级仿真器16使用输入立即执行行为级仿真,通过将所述输入激励应用到由行为代码建模的单元来捕获在监视配置中指定的所有输入信号和输出信号,并将所捕捉的输入和输出信号存储为行为级仿真结果1040,以生成行为级仿真结果1040。图6中提供了行为级仿真结果1040的示例。在步骤S412中,对等检测工具10根据修改的IO配置表100和行为级仿真结果1040执行电路级仿真来生成电路级仿真结果。此外,对等检测工具10根据单元列表12提取SPICE网表1042并且根据修改的IO配置表100生成SPICE配置1044,以及电路级仿真器18根据行为级仿真结果1040,SPICE网表1042和SPICE配置1044执行电路级仿真来以生成所述电路级仿真结果。具体地,电路级仿真器18可以从行为级仿真结果1040获取所述电路级仿真的输入激励,从而保持所述行为级仿真和所述电路级仿真之间的输入激励的一致性。在步骤S414中,电路级仿真器18比较行为级仿真结果1040和所述电路级仿真结果来生成比较报告106。电路级仿真器18可以确定来自行为级仿真结果1040和所述电路级仿真结果的对应输出信号是否一致,如果一致,则在比较报告106中指出输出对应的输出信号的输出引脚是功能对等的;以及如果不一致,则在比较报告106中指出所述输出引脚不是功能对等的。在一些实施例中,电路级仿真器18可以在检测窗口中比较相应的输出信号,并且其细节将在图6中讨论。在其他实施例中,所述电路级仿真器可以通过确定它们是否都处于Z状态或都是浮动的来比较相应的输出信号来检测它们的对等性,如果是,则在比较报告106中指示所述输出引脚的功能对等检测成功;如果不是,则在比较报告106中指示所述输出引脚的功能对等检测失败。在其他实施例中,电路级仿真器18可以通过确定它们是否多处于X状态或都是多驱动来比较相应的输出信号来检测对等,如果是,则在比较报告106中指示所述输出引脚的功能对等检测成功,如果不是,则在比较报告106中指示所述输出引脚的功能对等检测失败。比较报告106可以包括单元列表12中每个单元的输出引脚列表的功能对等检测结果。
图5示出了通过将模拟约束应用于对等检测系统1的行为级仿真的三个实施例,描绘了与逻辑状态模型相比采用模拟值模型的益处。所述模拟值模型也称为实值模型。单元列表12可以包含单晶体管电路50,多驱动电路52和多驱动电路54。可以使用逻辑约束和/或模拟约束来仿真所述单元。所述模拟约束可以是所述单元的输入信号的输入电压限制,并且对等检测工具10可以根据所述输入信号的输入电压限制来生成模拟输入激励。所述输入信号的输入电压限制可以是所述输入高阈值电压Vih或所述输入低阈值电压Vil。对于单晶体管电路50,当在逻辑状态模型中使用逻辑约束时,当将逻辑“1”输入晶体管M1的输入引脚IN时,输出引脚OUT呈现逻辑“1”;然而当在实值模型中使用模拟约束时,首先使用所述输入高阈值电压Vih将输入激励转换为6V,然后将其输入晶体管M1的输入引脚IN来在输出引脚OUT处生成5V-VT,从而以模拟形式反映所述输出信号的实值。对于多驱动电路52,当在所述逻辑状态模型中使用逻辑约束时,输出引脚OUT仅呈现逻辑“1”,尽管不同的模拟电压水平和/或输入信号的不同组合被分别地施加到在不同电路块中的晶体管M1和M2的输入引脚IN2以及输入引脚IN1。例如,所述输出引脚OUT针对将逻辑“1”输入到引脚IN1、将逻辑“1”输入到输入引脚IN2、将逻辑“0”输入到IN1引脚、将逻辑“1”输入到输入引脚IN2、并将逻辑“1”输入到引脚IN1、将逻辑“0”输入到输入引脚IN2的所有情况生成逻辑“1”,尽管这三种情况在现实生活中会表现出不同的输出行为,但是电路设计人员无法区分这些不同的情况。当在实值模型中采用模拟约束时,晶体管M1可以使用6V的模拟信号输入,晶体管M2可以使用7V的模拟信号输入,取决于输入引脚IN1和输入引脚IN2中的哪一个或两者被施加了模拟信号,输出引脚OUT可以提供6V(最小输出),7V(最大输出),6.5V(平均输出)或“X”状态(冲突输出),从而以模拟形式精确地对真实输出信号建模。对于多驱动电路54,当在逻辑状态模型中使用逻辑约束时,输出引脚OUT简单地呈现逻辑“1”,尽管不同的模拟电压电平和/或输入信号的不同组合分别施加到晶体管M1,M2,M3的输入引脚IN1,IN2,IN3;然而在实值模型中采用模拟约束时,可以使用6V的模拟信号输入晶体管M1,可以使用7V的模拟信号输入晶体管M2并且可以使用8V的模拟信号输入晶体管M3,取决于输入引脚IN1,IN2,IN3中的哪一个施加了模拟信号,输出引脚OUT可以交付6V,7V,8V或“X”状态,从而以模拟形式精确地对真实输出信号建模。
图7示出了针对实值模型在对等检测系统1中使用检测窗口的一个示例性功能对等检测,其中左上图示出了行为级输出信号70,右上图示出了电路级输出信号72,下图示出了行为级输出信号70和电路级输出信号72的功能对等检测。如前段所述,单元列表12中的单元的约束可以指定来从该单元的输出引脚的输出信号的检测窗口,并且该检测窗口可以被结合到行为级输出信号70和电路级输出信号72的比较中来考虑电路级输出信号72的过渡时间Tset。与行为级仿真不同,所述电路级输出信号72采用有限的过渡时间Tset以过渡到稳定状态。如图7中阐明的那样,电路级仿真器18可以跳过所述过渡持续时间Tset并且在比较窗口Tchk内比较行为级输出信号70和电路级输出信号72来为对等检测获取一个准确的比较结果。在一些实施例中,所述单元的约束可以进一步指定在检测窗口中单元的输出信号的容差。该容差可以是相对容差abstol或绝对容差reltol。电路级仿真器18可以确定在检测窗口Tchk中行为级输出信号70和电路级输出信号72之间的差值是否在该容差内,如果在,在比较报告106中指示所述输出引脚的功能对等检测成功,如果不在,则在比较报告106中指示所述输出引脚的功能对等性检查失败。在图7中所示的实施例中,由于在检测窗口Tchk内行为级输出信号70的模拟值与电路级输出信号72的模拟值之间的差值在容差内,所以功能对等检测成功。
具体实施方式
图6示出了由对等检测系统1生成的用于实值模型的另一示例性行为级仿真结果1040,其采用检测窗口来检测来自单元的输出引脚的输出信号的模拟值。行为级仿真结果1040可以包含来自行为级仿真的所有输入引脚的输入激励和所有输出引脚的输出值,其中输入激励包含要被馈送到单元的输入引脚的以便进行电路级仿真的全覆盖输入组合,并根据监视配置文件捕获输出值。行为级仿真结果1040可以包含sig_type参数,该sig_type参数指示信号的信号类型是逻辑的或模拟的,其中l指示逻辑值,r指示模拟值。所选择的行指示对于输出信号的模拟值检测的检测窗口设置。检测窗口设置包括检测时间、窗口范围、稳定状态、持续时间、相对容差、和绝对容差。在所选择的行中,检测窗口的检测时间以500ns为中心,窗口范围为在检测时间之前50ns和检测时间之后为50ns。行为级仿真器16可以生成仿真的模拟值作为预期输出,该仿真的模拟值将与处于检测窗口中的10mV的绝对容差或10%的相对容差内且在电路级仿真中持续时间20ns的电路级仿真模拟输出进行比较。还可以使用图6中概述的检测窗口方法检测输出信号的“X”状态和“Z”状态。
图8示出了由对等检测系统1在行为级仿真中生成的用于逻辑状态模型的行为级仿真结果1040,其采用检测窗口来检测来自单元的输出引脚的输出信号的逻辑值。检测窗口约束可以定义输出信号的窗口范围,检测时间和稳定状态。图8中,所选择的行指示用于输出信号的逻辑值检测的检测窗口设置。行为级仿真器16可以生成仿真的逻辑值作为预期输出,其将在检测窗口中与利用输出高(HIGH)阈值电压voh和输出低(LOW)阈值电压vol从模拟输出转换的电路级仿真的逻辑状态输出进行比较,如果电路级仿真的逻辑状态输出与预期输出匹配,则在比较报告106中指示输出引脚的逻辑值检测成功,否则,在比较报告106中指示输出引脚的逻辑值检测失败。
图9示出了在对等检测系统1中使用检测窗口的用于逻辑状态模型的示例性功能对等检测,其中左上图示出了行为级输出信号90,右上图示出了电路级输出信号92,右下图示出了电路级输出信号92的数字转换92',左下图示出了行为级输出信号90和转换的电路级输出信号92'的功能对等检测。行为级输出信号90是可以处于逻辑电平“0”或逻辑电平“1”的数字信号。电路级输出信号92是模拟信号,其可以从第一模拟值(例如0.2V)上升到第二模拟值(例如6V),反之亦然。可以将电路级输出信号92输出到行为级仿真器16,并且利用监视配置1024中指定的输出高阈值电压voh和输出低阈值电压vol将电路级输出信号92转换为数字化电路级输出信号92'。结果,数字化电路级输出信号92'可以处于逻辑电平“0”或逻辑电平“1”,并且可以与行为级输出信号90进行比较。可以在检测窗口Tchk中比较行为级输出信号90的值和数字化电路级输出信号92'的值。行为级仿真器16可以在检测窗口Tchk中确定行为级输出信号90与数字化电路级输出信号92'的值是否存在差值,如果是,则在比较报告106中指示输出引脚的功能对等检测失败,如果不是,则在比较报告106中指示输出引脚的功能对等检测成功。在如图9所示的实施例中,功能对等检测是成功的,因为行为级输出信号90和数字化电路级输出信号92'在检测窗口Tchk中都处于逻辑电平“1”。
图10是对等检测系统1采用的功能对等检测方法10的流程图。仿真方法10包括步骤S1000至S1010,以使用模拟值执行自动功能对等检测。步骤S1000和S1002用于获取单元列表12中的单元的模拟约束。步骤S1004至S1010用于使用模拟约束获取行为级仿真结果1040和电路级仿真结果和自动比较行为级仿真结果1040和电路级仿真结果以生成比较报告106。任何合理的技术变化或步骤调整都在本公开的范围内。步骤S1000至S1010详述如下:
步骤S1000:接收单元列表12;
步骤S1002:接收单元列表12中的单元的模拟约束;
步骤S1004:使用模拟约束执行行为级仿真,以生成行为级仿真结果1040;
步骤S1008:根据行为级仿真结果1040执行电路级仿真,以生成电路级仿真结果;
步骤S1010:比较行为级仿真结果1040和电路级仿真结果,以生成比较报告106。
步骤S1000至S1010的细节在前面的段落中进行了解释,这里不再重复。
图11是根据本发明一个实施例的用于使用模拟约束来执行功能对等检测的计算机程序11的示意图。计算机程序11可以由计算机中的处理器执行,并且被存储在计算机中的非暂时性计算机可读存储介质中。非暂时性计算机可读存储介质可以是硬盘驱动器、光盘、随机存取存储器、只读存储器或另一非易失性存储器。
计算机程序11包括功能对等检测工具110,其包括输入模块1100、IO配置表生成模块1102、行为级测试台生成模块1104、电路级模板生成模块1106、行为级仿真器16、和电路级仿真器18。
输入模块1100可以接收单元列表12和单元列表12中的单元的模拟约束112。IO配置表生成模块1102可以根据单元列表12生成IO配置表100并且可以使用模拟约束112来修改IO配置表100。模拟约束112可以包括:用于单元列表12中的单元的输入引脚的IO类型、端口类型、实际电压、输入高阈值电压Vih、输入低阈值电压Vil、强制性输入、限制性输入、优先级、周期、未用引脚、和电源引脚;以及用于单元列表12中的单元的输出引脚的IO类型、端口类型、实际电压、未用引脚、输出负载、“Z”状态检测、“X”状态检测、以及仿真精度。
在一些实施例中,行为级测试台生成模块1104可以根据修改的IO配置表100生成模拟输入激励1022。行为级测试台生成模块1104可以根据单元列表12生成行为代码1020,并且可以根据修改的IO配置表100生成监视配置1024。行为级仿真器16可以使用模拟输入激励1022执行行为级仿真,以生成模拟输出响应作为行为级仿真结果1040。具体地,行为级仿真器可以将输入激励1022应用于由行为代码1020建模的单元,以从监视配置1024中指定的输出引脚捕获输出信号。
电路级模板生成模块1106可以从行为级仿真器16接收行为级仿真结果1040,根据单元列表12生成SPICE网表1042,可以根据修改的IO配置表生成电路级仿真配置1044。电路级仿真器18可以根据SPICE网表1042和电路级仿真配置1044执行电路级仿真,以生成电路级仿真结果,并比较行为级仿真结果1040和电路级仿真结果以用于生成比较报告106。
在前面的段落中对行为级仿真器16和电路级仿真器18的操作进行了解释,这里不再重复。
图1中的对等检测工具10、图4中的仿真方法4、图10中的功能对等检测方法10、和图11中的计算机程序11,可以自动执行功能对等检测过程,提供输入激励的全覆盖,在行为级建模和仿真中考虑电路设计的实际值,和执行行为级仿真结果1040和电路级仿真结果之间的模拟值自动比较以生成比较报告106。
本领域技术人员将容易地观察到,在保留本发明的教导的同时可以对装置和方法进行多种修改和更改。因此,上述公开内容应被解释为仅受所附权利要求的范围和界限的限制。

Claims (20)

1.一种功能对等检测方法,包括:
接收单元列表;
接收所述单元列表中的单元的模拟约束;
使用所述模拟约束执行行为级仿真,以生成行为级仿真结果;
根据所述行为级仿真结果执行电路级仿真,以生成电路级仿真结果;以及
比较所述行为级仿真结果和所述电路级仿真结果,以生成比较报告。
2.根据权利要求1所述的方法,其中:
所述模拟约束是针对所述单元列表中的所述单元的输入引脚的IO类型、端口类型、实际电压、输入高阈值电压、输入低阈值电压、强制性输入、限制性输入、优先级、周期、未用引脚、和电源引脚,或针对所述单元列表中的所述单元的输出引脚的IO类型、端口类型、实际电压、未用引脚、输出负载、和IO类型的更改;和
使用所述仿真约束执行所述行为级仿真包括:
根据所述模拟约束生成模拟输入激励;和
使用所述模拟输入激励执行所述行为级仿真,以生成模拟输出响应作为所述行为级仿真结果。
3.根据权利要求1所述的方法,还包括:
根据所述单元列表生成输入/输出(IO)配置表;和
使用所述模拟约束修改所述IO配置表;
其中使用所述模拟约束执行所述行为级仿真包括:
根据所述修改的IO配置表生成全覆盖模拟输入激励;和
使用所述全覆盖模拟输入激励执行所述行为级仿真,以生成模拟输出响应作为所述行为级仿真结果。
4.根据权利要求3所述的方法,还包括:
根据所述单元列表生成行为代码;和
根据所述修改的IO配置表生成监视配置;
其中使用所述输入激励执行所述行为级仿真以生成所述模拟输出响应作为所述行为级仿真结果包括:
将所述输入激励应用于由所述行为代码建模的单元,以从所述监视配置指定的输出引脚捕获输出信号。
5.根据权利要求3所述的方法,还包括:
根据所述修改的IO配置表生成电路级仿真配置;
其中根据所述修改的IO配置表执行电路级仿真,以生成所述模拟输出响应作为所述电路级仿真结果包括:
根据电路级网表和所述电路级仿真配置执行所述电路级仿真,以生成所述电路级仿真结果。
6.根据权利要求1所述的方法,其中:
所述单元的所述模拟约束指定来自所述单元的输出引脚的输出信号的检测窗口;和
比较所述行为级仿真结果和所述电路级仿真结果,以生成所述比较报告包括:
在所述检测窗口中比较所述行为级仿真结果和所述电路级仿真结果。
7.根据权利要求1所述的方法,其中:
所述单元的所述模拟约束指定在检测窗口中所述单元的输出信号的容差;
所述输出信号是来自所述单元的输出引脚的输出;和
比较所述行为级仿真结果和所述电路级仿真结果以生成所述比较报告包括:
在所述检测窗口中确定所述行为级仿真结果中的输出信号和所述电路级仿真结果中的输出信号的差值;和
当所述差值小于所述容差时,在所述比较结果中指示所述输出引脚的功能对等检测成功。
8.根据权利要求7所述的方法,其中所述容差是相对容差。
9.根据权利要求7所述的方法,其中所述容差是绝对容差。
10.根据权利要求1所述的方法,还包括接收所述单元列表中的所述单元的第二约束;
其中所述单元的所述第二约束指定来自所述单元的输出引脚的输出信号是浮动的;和
比较所述行为级仿真结果和所述电路级仿真结果以生成所述比较报告包括:
当所述行为级仿真结果中的输出信号和所述电路级仿真结果中的输出信号都是浮动的时,在所述比较报告中指示所述引脚的功能对等检测成功。
11.根据权利要求1所述的方法,还包括接收所述单元列表中的所述单元的第二约束;
其中所述单元的所述第二约束指定来自所述单元的输出引脚的输出信号由多个信号源驱动;和
比较所述行为级仿真结果和所述电路级仿真结果以生成所述比较报告包括:
当所述行为级仿真结果中的输出信号和所述电路级仿真结果中的输出信号都由多个信号源驱动时,在所述比较报告中指示所述输出引脚的功能对等检测成功。
12.一种用于对等检测的装置,包括:
输入模块,被配置为接收单元列表和所述单元列表中单元的模拟约束;
行为级仿真器,被配置为使用所述模拟约束执行行为级仿真,以生成行为级仿真结果;和
电路级仿真器,被配置为根据所述行为级仿真结果执行电路级仿真,以生成电路级仿真结果,以及比较所述行为级仿真结果和所述电路级仿真结果以生成比较报告。
13.根据权利要求12所述的装置,其中
所述模拟约束是针对所述单元列表中的所述单元的输入引脚的IO类型、端口类型、实际电压、输入高阈值电压、输入低阈值电压、强制性输入、限制性输入、优先级、周期、未用引脚、和电源引脚,或针对所述单元列表中的单元的输出引脚的IO类型、端口类型、实际电压、未用引脚、输出负载、和IO类型的更改;
所述装置还包括行为级测试台生成模块,被配置为根据所述模拟约束生成所述模拟输入激励;和
所述行为级仿真器被配置为使用所述模拟输入激励执行所述行为级仿真,以生成模拟输出响应作为所述行为级仿真结果。
14.根据权利要求12所述的装置,其中:
所述装置还包括输入/输出(IO)配置表生成模块,被配置为根据所述单元列表生成IO配置表,和使用所述模拟约束修改所述IO配置表;
所述行为级测试台生成模块被配置为根据所述修改的IO配置表生成全覆盖模拟输入激励;和
所述行为级测试台生成模块被配置为使用所述全覆盖模拟输入激励执行所述行为级仿真,以生成模拟输出响应作为所述行为级仿真结果。
15.根据权利要求14所述的装置,其中:
所述行为级测试台生成模块被配置为根据所述单元列表生成行为代码,和根据所述修改的IO配置表生成监视配置;和
所述行为级仿真器被配置为将所述输入激励应用于由所述行为代码建模的所述单元,以捕获来自所述监视配置中指定的输出引脚的输出信号。
16.根据权利要求14所述的装置,其中:
所述电路级模板生成模块还被配置为根据所述修改的IO配置表生成电路级仿真配置;和
所述电路级仿真器被配置为根据电路级网表和所述电路级仿真配置执行所述电路级仿真,以生成所述电路级仿真结果。
17.根据权利要求12所述的装置,其中:
所述单元的所述模拟约束指定来自所述单元的输出引脚的输出信号的检测窗口;和
所述电路级仿真器被配置为在所述检测窗口中比较所述行为级仿真结果和所述电路级仿真结果。
18.根据权利要求12所述的装置,其中:
所述单元的所述模拟约束指定在检测窗口中所述单元的输出信号的容差;
所述输出信号是来自所述单元的输出引脚的输出;和
所述电路级仿真器被配置为在所述检测窗口中确定所述行为级仿真结果中的输出信号和所述电路级仿真结果中的输出信号的差值,和当所述差值小于所述容差时,在所述比较报告中指示所述输出引脚的功能对等检测成功。
19.根据权利要求12所述的装置,其中:
所述输入模块还被配置为接收所述单元列表中的所述单元的第二约束,所述单元的所述第二约束指定来自所述单元的输出引脚的输出信号是浮动的;和
所述电路级仿真器被配置为当所述行为级仿真结果中的输出信号和所述电路级仿真结果中的输出信号都是浮动的时,在所述比较报告中指示所述输出引脚的功能对等检测成功。
20.根据权利要求12所述的装置,其中:
所述输入模块还被配置为接收所述单元列表中的所述单元的第二约束,所述单元的所述第二约束指定来自所述单元的输出引脚的输出信号由多个信号源驱动;和
所述电路级仿真器被配置为当所述行为级仿真结果中的输出信号和所述电路级仿真结果中的输出信号都由多个信号源驱动时,在所述比较报告中指示所述引脚的功能对等检测成功。
CN201980000895.XA 2019-05-09 2019-05-09 用于功能对等检测中的仿真方法 Active CN110268404B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010818100.0A CN111931444B (zh) 2019-05-09 2019-05-09 用于功能对等检测中的仿真方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2019/086177 WO2020223955A1 (en) 2019-05-09 2019-05-09 Simulation method for use in functional equivalence check

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202010818100.0A Division CN111931444B (zh) 2019-05-09 2019-05-09 用于功能对等检测中的仿真方法

Publications (2)

Publication Number Publication Date
CN110268404A true CN110268404A (zh) 2019-09-20
CN110268404B CN110268404B (zh) 2020-09-25

Family

ID=67912975

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201980000895.XA Active CN110268404B (zh) 2019-05-09 2019-05-09 用于功能对等检测中的仿真方法
CN202010818100.0A Active CN111931444B (zh) 2019-05-09 2019-05-09 用于功能对等检测中的仿真方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN202010818100.0A Active CN111931444B (zh) 2019-05-09 2019-05-09 用于功能对等检测中的仿真方法

Country Status (4)

Country Link
US (1) US11170147B2 (zh)
CN (2) CN110268404B (zh)
TW (1) TWI716079B (zh)
WO (1) WO2020223955A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112800709A (zh) * 2021-04-09 2021-05-14 中国电子科技集团公司信息科学研究院 数模转换器建模方法及系统、数模转换器

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI813421B (zh) * 2022-08-19 2023-08-21 瑞昱半導體股份有限公司 用來模擬電子元件的崩潰的方法以及設備

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101425857A (zh) * 2008-11-20 2009-05-06 北京航空航天大学 发射设备通信频带外非线性干扰的诊断方法
CN101714184A (zh) * 2009-11-20 2010-05-26 北京航空航天大学 一种电路板级电磁兼容敏感度行为级建模系统
CN102033990A (zh) * 2010-11-30 2011-04-27 深圳市国微电子股份有限公司 组合逻辑电路逻辑参数提取激励波形的产生方法
US20160110483A1 (en) * 2014-10-21 2016-04-21 Jong Eun Koo Method of operating simulator compensating for delay and device for perofmring the same
CN106100635A (zh) * 2016-05-19 2016-11-09 中国电子科技集团公司第二十四研究所 锁相环时钟抖动的仿真方法及系统
CN106817215A (zh) * 2016-12-07 2017-06-09 清华大学 一种针对旁路攻击的片上供电网络验证方法
CN108363894A (zh) * 2018-05-04 2018-08-03 西安电子科技大学 一种电路级单粒子效应仿真平台
CN108875192A (zh) * 2018-06-11 2018-11-23 北京航空航天大学 一种典型cmos器件极限低温特性仿真方法
CN109190210A (zh) * 2018-08-17 2019-01-11 电子科技大学 基于Saber平台建模仿真的电路性能可靠性分析方法
CN109684746A (zh) * 2018-12-27 2019-04-26 北京华大九天软件有限公司 一种定位数字时序路径spice仿真失败的方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5572437A (en) * 1990-04-06 1996-11-05 Lsi Logic Corporation Method and system for creating and verifying structural logic model of electronic design from behavioral description, including generation of logic and timing models
US5452227A (en) * 1991-11-13 1995-09-19 Westinghouse Elec. Corp. Method and apparatus for converting a programmable logic device designed into a selectable target gate array design
JP3056026B2 (ja) * 1993-07-29 2000-06-26 株式会社日立製作所 論理シミュレーション方法
US5841967A (en) * 1996-10-17 1998-11-24 Quickturn Design Systems, Inc. Method and apparatus for design verification using emulation and simulation
US6212490B1 (en) * 1998-06-24 2001-04-03 S3 Incorporated Hybrid circuit model simulator for accurate timing and noise analysis
JP2001101247A (ja) * 1999-09-29 2001-04-13 Matsushita Electric Ind Co Ltd 集積回路装置の検証方法および検証用インターフェースモデルの生成方法
US6400173B1 (en) * 1999-11-19 2002-06-04 Hitachi, Ltd. Test system and manufacturing of semiconductor device
US6983432B2 (en) * 2001-05-04 2006-01-03 International Business Machines Corporation Circuit and method for modeling I/O
JP4099974B2 (ja) * 2001-10-30 2008-06-11 日本電気株式会社 動作レベル記述とレジスタ転送レベル記述間の等価性検証方法及び装置並びにプログラム
US7328195B2 (en) * 2001-11-21 2008-02-05 Ftl Systems, Inc. Semi-automatic generation of behavior models continuous value using iterative probing of a device or existing component model
US20040254775A1 (en) * 2003-06-13 2004-12-16 Arpad Muranyi Method and apparatus to characterize an electronic device
US7007251B2 (en) * 2003-11-12 2006-02-28 International Business Machines Corporation Database mining system and method for coverage analysis of functional verification of integrated circuit designs
US20060155520A1 (en) * 2005-01-11 2006-07-13 O'neill Peter M Model-based pre-assembly testing of multi-component production devices
TWI274171B (en) * 2005-12-22 2007-02-21 Magima Digital Information Co A scan chain and an IC verification method using the scan chain
TW200620018A (en) * 2006-03-03 2006-06-16 Univ Chang Gung A method for MIMO RLCG interconnects model order reduction technique using the global Arnoldi algorithm
TWI301202B (en) * 2006-04-13 2008-09-21 Ind Tech Res Inst A calibration method of a mixed mode simulation
TWI369620B (en) * 2008-07-30 2012-08-01 Faraday Tech Corp Method and technique for analogue circuit synthesis
US8712751B2 (en) * 2009-05-08 2014-04-29 Qualcomm Incorporated System and method of verification of analog circuits
US8682631B2 (en) * 2009-09-03 2014-03-25 Henry Chung-herng Chang Specifications-driven platform for analog, mixed-signal, and radio frequency verification
US8302043B2 (en) * 2009-09-17 2012-10-30 International Business Machines Corporation Verification of logic circuit designs using dynamic clock gating
US8549370B2 (en) * 2009-12-30 2013-10-01 STMicroelectronics International N. V. On-chip functional debugger and a method of providing on-chip functional debugging
TWI450116B (zh) * 2010-06-02 2014-08-21 Hon Hai Prec Ind Co Ltd 通用spice等效電路模擬系統及方法
TW201209430A (en) * 2010-08-26 2012-03-01 Sunplus Technology Co Ltd Method and system for testing mixed-mode integrated circuit
US8799841B2 (en) * 2012-03-29 2014-08-05 Fujitsu Limited Designing analog circuits
US8893068B1 (en) * 2012-05-01 2014-11-18 Altera Corporation Techniques to generate a more accurate simulation model
CN104488220A (zh) * 2012-05-08 2015-04-01 塞若纳提克有限公司 用于通信与操作背景的验证的方法与系统
US9020797B2 (en) * 2012-06-14 2015-04-28 Cadence Design Systems, Inc. Integrated circuit simulation using analog power domain in analog block mixed signal
US9058463B1 (en) * 2013-12-03 2015-06-16 Cavium, Inc. Systems and methods for specifying. modeling, implementing and verifying IC design protocols
US9400861B2 (en) * 2014-02-07 2016-07-26 Freescale Semiconductor, Inc. Method of optimizing the design of an electronic device with respect to electromagnetic emissions based on frequency spreading introduced by software, computer program product for carrying out the method and associated article of manufacture
US9483603B2 (en) * 2014-06-02 2016-11-01 Oracle International Corporation Micro-benchmark analysis optimization for microprocessor designs
US20170011138A1 (en) * 2015-07-07 2017-01-12 Synopsys, Inc. System and method for hierarchical power verification
CN106096177A (zh) * 2016-06-23 2016-11-09 中国电子科技集团公司第五十八研究所 一种基于传统eda工具的多芯片联合仿真方法
US20180060472A1 (en) * 2016-08-30 2018-03-01 Mediatek Inc. Efficient cell-aware fault modeling by switch-level test generation
US10169507B2 (en) * 2016-11-29 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Variation-aware circuit simulation
US10133837B1 (en) * 2017-01-13 2018-11-20 Cadence Design Systems, Inc. Method and apparatus for converting real number modeling to synthesizable register-transfer level emulation in digital mixed signal environments
US10289790B2 (en) * 2017-06-14 2019-05-14 Nuvoton Technology Corporation System, method and computer product for enhanced decoupling capacitor implementation
CN109740244A (zh) * 2018-12-29 2019-05-10 南京宁麒智能计算芯片研究院有限公司 一种激励空间无冗余均匀覆盖的多核互联总线验证方法

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101425857A (zh) * 2008-11-20 2009-05-06 北京航空航天大学 发射设备通信频带外非线性干扰的诊断方法
CN101714184A (zh) * 2009-11-20 2010-05-26 北京航空航天大学 一种电路板级电磁兼容敏感度行为级建模系统
CN102033990A (zh) * 2010-11-30 2011-04-27 深圳市国微电子股份有限公司 组合逻辑电路逻辑参数提取激励波形的产生方法
US20160110483A1 (en) * 2014-10-21 2016-04-21 Jong Eun Koo Method of operating simulator compensating for delay and device for perofmring the same
CN106100635A (zh) * 2016-05-19 2016-11-09 中国电子科技集团公司第二十四研究所 锁相环时钟抖动的仿真方法及系统
CN106817215A (zh) * 2016-12-07 2017-06-09 清华大学 一种针对旁路攻击的片上供电网络验证方法
CN108363894A (zh) * 2018-05-04 2018-08-03 西安电子科技大学 一种电路级单粒子效应仿真平台
CN108875192A (zh) * 2018-06-11 2018-11-23 北京航空航天大学 一种典型cmos器件极限低温特性仿真方法
CN109190210A (zh) * 2018-08-17 2019-01-11 电子科技大学 基于Saber平台建模仿真的电路性能可靠性分析方法
CN109684746A (zh) * 2018-12-27 2019-04-26 北京华大九天软件有限公司 一种定位数字时序路径spice仿真失败的方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
廖璐等: ""行为级模型功能比对验证的自动方法学"", 《电子技术应用》 *
王治明: ""基于MASH结构的Sigma-Delta调制解调器设计"", 《中国优秀硕士学位论文全文数据库 信息科技辑》 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112800709A (zh) * 2021-04-09 2021-05-14 中国电子科技集团公司信息科学研究院 数模转换器建模方法及系统、数模转换器

Also Published As

Publication number Publication date
CN110268404B (zh) 2020-09-25
US20200356639A1 (en) 2020-11-12
US11170147B2 (en) 2021-11-09
WO2020223955A1 (en) 2020-11-12
CN111931444A (zh) 2020-11-13
TWI716079B (zh) 2021-01-11
TW202042052A (zh) 2020-11-16
CN111931444B (zh) 2021-07-20

Similar Documents

Publication Publication Date Title
US9218440B2 (en) Timing verification of an integrated circuit
EP0508620B1 (en) Method and System for automatically determing the logical function of a circuit
US9183332B2 (en) Method for simulation of partial VLSI ASIC design
JPH05167046A (ja) ファクトリ・プログラムドデバイスの製造システム及び製造方法
US10997332B1 (en) System and method for computing electrical over-stress of devices associated with an electronic design
CN103838894A (zh) 一种pdk自动测试实现方法
US8707234B1 (en) Circuit noise extraction using forced input noise waveform
US6370493B1 (en) Simulation format creation system and method
CN110268404A (zh) 用于功能对等检测中的仿真方法
KR20230002617A (ko) 아날로그 결함 검출성 분석을 위한 빠르고 스케일러블한 방법론
US7546566B2 (en) Method and system for verification of multi-voltage circuit design
US6285975B1 (en) System and method for detecting floating nodes within a simulated integrated circuit
US20120166168A1 (en) Methods and systems for fault-tolerant power analysis
CN107844678B (zh) 包含IP/Memory时序路径的spice仿真方法
CN103440391B (zh) 一种基于数值选择函数的半导体工艺角扫描仿真方法
US11354477B1 (en) System and method for performance estimation for electronic designs using subcircuit matching and data-reuse
CN112861455B (zh) Fpga建模验证系统及方法
US20060026479A1 (en) Verification vector creating method, and electronic circuit verifying method using the former method
US10783307B1 (en) System and method for power-grid aware simulation of an IC-package schematic
US20240028803A1 (en) Parameterized high level hierarchical modeling, and associated methods
CN115952755B (zh) 同步器标准单元的atpg库模型生成系统
EP1394704A2 (en) Apparatus and method for simulating switched capacitor circuits
CN115587555B (zh) 集成时钟门控标准单元的atpg库模型生成系统
David Efficient functional verification for mixed signal IP
Rajsuman Extending EDA environment from design to test

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant