JPH05167046A - ファクトリ・プログラムドデバイスの製造システム及び製造方法 - Google Patents

ファクトリ・プログラムドデバイスの製造システム及び製造方法

Info

Publication number
JPH05167046A
JPH05167046A JP3318390A JP31839091A JPH05167046A JP H05167046 A JPH05167046 A JP H05167046A JP 3318390 A JP3318390 A JP 3318390A JP 31839091 A JP31839091 A JP 31839091A JP H05167046 A JPH05167046 A JP H05167046A
Authority
JP
Japan
Prior art keywords
file
pld
logic
circuit
output signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP3318390A
Other languages
English (en)
Inventor
Michel J Campmas
ミシェル・ジェイ・キャンプマス
William A Johnston
ウィリアム・エイ・ジョンストン
Gai-Bing Chen
ガイビン・チェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MATORA DESIGN SEMICONDUCTOR IN
MATORA DESIGN SEMICONDUCTOR Inc
MATRA DESIGN SEMICONDUCTOR Inc
Original Assignee
MATORA DESIGN SEMICONDUCTOR IN
MATORA DESIGN SEMICONDUCTOR Inc
MATRA DESIGN SEMICONDUCTOR Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MATORA DESIGN SEMICONDUCTOR IN, MATORA DESIGN SEMICONDUCTOR Inc, MATRA DESIGN SEMICONDUCTOR Inc filed Critical MATORA DESIGN SEMICONDUCTOR IN
Publication of JPH05167046A publication Critical patent/JPH05167046A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Logic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

(57)【要約】 (修正有) 【目的】PLD回路の論理記述及び機能しているPLD
デバイスを用いて、PLDを置き換えるようにファクト
リ・プログラムド回路を設計するシステム及び方法を提
供する。 【構成】ブロック201〜208、および211がデー
タ入力ファイルについてのプログラムの実行を表してい
る。エキスパートシステムが論理記述に基づく論理回路
モデルを合成する。自動テストパターンジェネレータ
が、エキスパートシステムによって作成される論理回路
モデルに期待応答信号を含むテストベクトルを供給す
る。自動生成されたテストベクトルは、テスタ204に
供給されかつそれによって入力刺激としPLDに印加さ
れる。PLDの出力信号を期待応答信号に対して209
で照合する。PLDの出力信号が期待応答信号に適合す
る場合には、コンピュータモデルを正しいとして、論理
記述に記述された機能を実行211するマスクプログラ
マブル回路を構成するためのマスクレイアウトを開始で
きる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は集積回路に関する。特
に、本発明はプログラマブル論理デバイスに関する。
【0002】
【従来の技術】プログラマブル論理デバイス(PLD)
として一般に知られているフィールドプログラマブル論
理デバイスは、プログラムされていない状態でユーザに
販売されるプログラマブル集積回路である。この場合、
ユーザがその用途に必要な論理機能が得られるように前
記デバイスをプログラムする。PLDの例が、参考文献
として米国カリフォルニア州サニーベイルに所在するア
ドバンスト・マイクロ・デバイシズ・インコーポレイテ
ッド(Advanced Micro Devices,Inc.)が発行した「PAL
Device Data Book」第3版(1988年)に記載され
ている。(PAL及びFPLAはPLDの種類であ
る。)
【0003】PLDは市販されているプログラム設備を
用いて容易にプログラムすることができるので、或る一
定の用途については重要な利点である設計の柔軟性及び
迅速なターンアラウンドが得られる。例えばプロダクト
・プロトタイプの開発に於て、フィールド環境に於ける
デバッギングは、単に欠陥のあるPLDを正しい論理を
実行するものに置き換えることによって達成することが
できる。しかしながら、各PLDを別個にプログラムし
なければならないので、PLDは、追加コストを要する
ことなく製造過程に於て大量にマスクプログラムされる
ファクトリ・プログラムドデバイスよりも高価である。
従って、製品が大量生産される場合には、製品開発が安
定した後にPLDをピン対ピン対応の互換性を有するフ
ァクトリ・プログラムドデバイスで置き換えることが費
用の上で効率的である。
【0004】ゲートアレイ回路は、PLDに対する一般
的なファクトリ・プログラムドデバイスの代替物であ
る。ゲートアレイ回路は一般に、製造時にユーザの専用
パターンからなる金属配線を設けて、その下側に設けら
れたトランジスタの基準アレイを接続することによって
プログラムされる。この配線のパターンは、専用のフォ
トマスクを用いて提供される。製造過程から生み出され
たゲートアレイ回路は、用途特定の論理機能を実行す
る。現在、PLD回路からファクトリ・プログラムド回
路への変換には、ファクトリ・プログラムド回路の供給
者(以下「ASIC販売者」と称す)とPLDのユーザ
(以下「カスタマ」と称す)との間に緊密な協力関係が
伴なう。図1は、この変換を達成するのに必要なステッ
プを示している。
【0005】図1に関して、カスタマがステップ100
に於てASIC販売者にPLDで実行される論理記述を
提供する。ステップ101に示されるように、この論理
記述は論理回路の回路図表現(sthematic representati
on)に翻訳される。このステップは、ソフトウエアの回
路図キャプチャー(capture)プログラムを用いて実行
される場合が多い。この回路図表現から、ステップ10
2に於てシミュレータ及び照合装置について使用するた
めのネットリストが作成される。これらのシミュレータ
及び照合装置は、目的とする論理機能が正しく供給され
ていることを確保するためにネットリストによって表さ
れた回路の動作をシミュレートするソフトウエアプログ
ラムである。このステップでは、前記ネットリストによ
って表された論理回路によって生じる伝搬遅れが、タイ
ミング性能の目的に適合しているかどうかを判断して評
価される場合が多い。
【0006】図1に示される前記モデルによって表され
るような論理記述から許容し得る回路図表現を生成する
方法は常に直線的に進行し得るものではない。例えば、
回路図表現が許容し得る最終的な表現に到達する前に何
度も訂正されかつシミュレートし直されることは普通で
ある。この判断記号110によって示される位置に於
て、カスタマは一般にASIC販売者に「サインオフ」
(sign-off)を与えて、専用マスクのレイアウトが作成
される次のステップ103(「レイアウト作成」)に進
んでも良いことを示す。カスタマは、シミュレーション
及び分析の結果を注意深く吟味して、それに基づいて進
めるかどうかを判断する。
【0007】レイアウト作成ステップ103は、前記回
路図表現のネットリストを用いて、前記専用「マスク」
層の上に幾何形状のパターンを形成することを必要とす
る。これらのパターンから形成された専用マスクは、回
路製造過程のいずれかの露光ステップに於て使用され
る。これらのマスクは、ASIC販売者の製造方法及び
回路技術のデザイン・ルールに従って形成する必要があ
る。これらのパターンから形成された専用マスクは、回
路製造過程のいずれかの露光ステップに於て使用され
る。これらのマスクは、ASIC販売者の製造方法及び
回路技術のデザイン・ルールに従って形成される。ま
た、レイアウト作成ステップ103は、一般に様々な設
計ソフトウエアプログラム及びデータベースを用いて達
成される。これらのソフトウエアプログラム及びデータ
ベースの例には、配置(placement)及びルーティング
プログラムと「セル」(コンポーネント)ライブラリが
ある。
【0008】ステップ103で作成されたレイアウトは
ステップ104のシミュレーション及び分析プログラム
に供給され、前記ネットリストの表現からレイアウトの
表現への翻訳時に論理機能及びタイミングパラメータが
正確に保持されているようにする。これらのシミュレー
ション及び分析プログラムは、上述したステップ102
に於て使用したものと同じでも良い。この時点に於て、
タイミングのような前記回路の物理的実施に特有の多く
のパラメータをより正確に評価することができる。
【0009】同様にレイアウト作成プロセスも常に直線
的に進行し得るものではない。前記レイアウト作成ステ
ップ及びポストレイアウトシミュレーション及び分析ス
テップ(103及び104)を何度か繰り返すことを必
要とする場合が多い。作成されたレイアウトにカスタマ
が満足した後に、判断ステップ105のように図1に示
されるような別の「サインオフ」がASIC販売者に与
えられて、デバイスの製造を開始できることが示され
る。同様に、このカスタマの判断は、シミュレーション
及び分析の結果を注意深く吟味しかつそれに基づいて行
なわれる。
【0010】次に、ステップ104の作成されたレイア
ウトを用いて、ゲートアレイを製造する(ステップ10
6)ために用いられる露光マスクを作成する。
【0011】
【発明が解決しようとする課題】当業者には容易に理解
されるように、PLDのインプリメンテーションからフ
ァクトリ・プログラムド回路のインプリメンテーション
への変換を行なうために、カスタマが費用を要するエン
ジニアリングのために時間を費やす場合が多い。また、
変換プロセスのスループット時間は、シミュレーション
の結果が受入れられるものであるかどうかを分析するた
めにカスタマが要する時間によって長くなる。このよう
なエンジニアリング及び分析に要するコストが、最終的
なデバイスを形成するために必要なコスト及び時間を増
大させる。
【0012】従って、カスタマの関り合い、即ち時間及
び費用を要するエンジニアリング並びにシミュレーショ
ン分析が、仮に排除できない場合でも最小になるような
自動機構を備えることが非常に望ましい。
【0013】
【課題を解決するための手段】本発明によれば、PLD
デバイスをファクトリ・プログラムド回路に変換するた
めのシステム及び方法が提供され、PLDの論理記述を
用いてネットリストが作成される。次に、このネットリ
ストを用いて、前記PLDをテストするためのテストベ
クトルを含むテストプログラムが作成される。更に、こ
のテストプログラムを用いてカスタマが提供したPLD
をテストし、前記PLDが前記テストに良好に合格した
場合には、前記ネットリストが前記PLDを正確に記述
していることが分かる。従って、前記ネットリストを用
いてマスクを形成することができ、カスタマがシミュレ
ーション分析に関与する必要がない。
【0014】以下に、添付図面を参照しつつ実施例を用
いて本発明を詳細に説明する。
【0015】
【実施例】本発明によれば、PLD回路の論理記述及び
機能しているPLDデバイスを用いて、PLDを置き換
えるようにファクトリ・プログラムド回路を設計するシ
ステム及び方法が提供される。
【0016】図2及び図3は、本発明によるシステムの
第1実施例を示すブロック図である。本発明によれば、
カスタマはASIC販売者に対して論理記述と、該論理
記述が実行されて機能しているPLDデバイスとを提供
すれば良いだけである。実質的にこれ以上カスタマが関
与することなく、前記ASIC販売者は前記カスタマ
に、大量生産に適しておりかつ前記PLDデバイスにピ
ン対ピン対応のコンパチブルなファクトリ・プログラム
ド回路を提供する。
【0017】図2及び図3に示される実施例では、ブロ
ック201〜208、211〜213及び216が後述
するようにデータ入力ファイルについてのプログラムの
実行を表している。これらのプログラムは、IBM社製
パーソナルコンピュータまたはIBM社製パーソナルコ
ンピュータ(以下「IBM PC」と称す)との互換性
を有する装置、若しくはサン・マイクロシステムズ(Su
n Microsystems)製モデルSun−3ワークステーショ
ン(以下「Sun-3」と称す)に於て実行することができ
る。しかしながら、他のコンピュータまたはワークステ
ーションを用いることもできる。
【0018】図2及び図3に示されるように、本発明に
よる方法は、PLDに於て実行される論理機能を表す論
理記述をカスタマが提供した時に開始される。この論理
記述は、ABELのような論理式記述言語で表すことが
できる。ABELに関する詳細な説明は、米国ワシント
ン州レドモンドに所在するデータ・I/Oコーポレイシ
ョン発行の「ABEL 3.0」を参照することができ
る。他の実施例では、他の論理記述言語を用いることが
できる。また別の実施例では、別の方法を用いて真理値
表のような論理記述または論理回路の回路図表現を提供
することができる。
【0019】カスタマの論理記述がABELのフォーマ
ットでない場合には、任意により図2のブロック201
に示されるような変換プログラムを設けることができ
る。例えば、或る実施例では、ブロック201で表され
るプログラムTOABELが、ファイル名拡張子.PA
Lを有するファイルから得られたPALASMフォーマ
ットの式をABELフォーマットに翻訳し、かつファイ
ル名拡張子.ABLを有するファイルに出力ABEL論
理式を入れる。(PALASMは、当業者にとって周知
でありかつ「PAL Device Book」に記載されている。)
プログラムTOABELは当業者に周知であり、同様に
上述したデータ・I/Oコーポレーションから入手する
ことができる。TOABELはIBM PC装置及びS
unワークステーションで動作させることができる。
【0020】ブロック202は、論理回路のネットリス
トを作成するためのGASPとして知られるエキスパー
トシステムに供給される.ABLファイル即ちABEL
ファイルを示している。スクリプト即ちコマンドファイ
ルABEL TO HILOを用いてGASPの各コン
ポーネントを実行する。GASP−LUCASとも称さ
れるGASPは、英国フェアラムに所在するジェンラッ
ド・リミテッド(Genrad Limited)から販売されている
ルールベースのエキスパートシステムである。
【0021】GASPプログラムは、入力ファイルとし
て次のものを使用する。(1)ABELフォーマットの
論理記述を含む.ABLファイル、(2)前記PLDデ
バイスタイプをモデルにした.MPLファイル、(3)
ASIC販売者の回路記述に於て論理デバイスがどのよ
うに構成されているかを記述する「メソッド・ライブラ
リファイル」.MET、(4)ASIC販売者の回路技
術に於て使用可能な論理機能を一覧表にしたMD.CE
Lライブラリ、及び(5)GASPについて前記MD.
CELライブラリに記載されている種類の相互に接続さ
れた論理セルを記述するネットリストに前記論理記述を
効率的に変換するための規則を記述する一組の.BAS
ファイルからコンパイルされる.RCPルールベースフ
ァイルである。これらの入力ファイルに応答して、これ
らからGASPは前記.ABLファイルに記述される機
能を実行する論理回路のネットリストを提供する。
【0022】GASPの動作及び使用に関する情報は、
英国フェアラムのジェンラッド・インコーポレイテッド
(GenRad Incorporated)から入手することができる。
当業者にとって周知のように、「ネットリスト」は、例
えば回路内のゲート、バッファ及びフリップフロップの
ような全ての回路コンポーネントを掲載した或る種の回
路記述である。このネットリストが、各回路コンポーネ
ントの入出力リード及びその他の回路コンポーネントへ
の接続を識別する。
【0023】上述したように前記.MPLファイルが一
般的な形式のPLDをモデルにしている。例えば、AM
D 22V10をモデルにするためにM22V10デバ
イスの各ピンの属性を、記述するようなファイルを提供
することになる。このような属性には、(i)ピンの名
前、(ii)入力ピン、出力ピンまたは双方向ピンである
か、(iii)前記GASPデータベースのモデリングに
よる他の属性等が含まれるが、これらに限定されるもの
ではない。本発明を用いて異なる種類のPALに於て実
行されるデバイスをマスクプログラマブルデバイスに変
換しようとする場合には、前記.MPLファイルを適当
に変形することになる。
【0024】GASPは前記.ABL、.MPL、M
D.CEL、MET、及び.RCP各ファイルからネッ
トリストファイル(即ち.NETファイル)を作成す
る。前記.NETファイルに於ては、「BEGIN」と
記載された行の後に、前記回路によって実行される論理
を記述した一覧表が続く。前記一覧表の各行の最初の語
は、記述されている論理記述に関連するラベルであり、
かっこ内に挿入されている第2の項目は、前記ゲートに
よって供給される出力信号の名前であり、「:=」の区
切りの後に来る第3の項目は、前記行によって表される
論理デバイスの種類であり、第4の項目は入力信号の名
前である。以下の表1は、.NETファイルに於て使用
されるデバイスの種類及び略称を記載したものである。
【0025】
【表1】
【0026】GASPについて使用される論理デバイス
のライブラリには、他の論理デバイスを含むことができ
る。更に、米国カリフォルニア州サンタクララに所在す
るマトラ・デザイン・セミコンダクター・インコーポレ
イテッド(Matra Design Semiconductor, Inc.)による
1987年発行の「GATELIB Macrocell and MacroFunct
ion Libraries」に論理デバイスが記載されている。
【0027】ピンモデルの一例として、前記.NETフ
ァイルは、上述した22V10の出力回路内の出力レジ
スタ・フリップフロップのパワーオン・リセットのため
に使用される回路要素PORを有する場合がある。シミ
ュレーションのために、PORは遅延線としてモデルに
することができる。本実施例に於て実施されるように、
PORは大きな容量を有する回路である。
【0028】ブロック102によって表されるソフトウ
エアの1部分には、前記.NETファイルを受けてそれ
から.CCTファイルを作成する変換プログラムが含ま
れる。この変換によっては、単に後述するSYSTEM
HILOのようなツールへの入力に適する前記.CC
Tフォーマットでの前記.NETファイルの同じ情報が
得られるだけである。
【0029】前記.CCTファイルが準備された後に、
カスタマが提供するサンプルPLDをテストするために
用いることができるテストベクトルを作成することが必
要である。当業者にとって周知のように、良くテーブル
フォーマットで表されるテストベクトルは、回路に供給
される刺激入力信号及び該入力信号に応答する期待回路
出力信号である。ブロック204のSYSTEM HI
LOとして知られるプログラムを用いて前記ネットリス
トからテストベクトルを生成する。SYSTEM HI
LOは、英国フェアラムに所在するジェンラット・リミ
テッドから入手することができる。
【0030】テストパターン作成モジュールHITES
T及び故障シミュレータHIFAULTは、SYSTE
M HILOの別個に販売されている部分である。前記
HITESTモジュールの動作は、英国フェアラムのジ
ェンラッド・インコーポレイテッドから入手可能な「SY
STEM HILO HITEST-Plus Reference Manual」に記載され
ている。本明細書の参考資料である「HIFAULT Referenc
e Manual」に記載されている前記HIFAULT故障シ
ミュレータは、同様に英国フェアラムのジェンラッド・
インコーポレイテッドから入手することができる。当然
ながら、他の自動テストパターン作成システム及び故障
シミュレータを用いることができる。別の自動テストパ
ターン作成システムまたは故障シミレータを使用する場
合には、適当なフォーマット変換プログラムが必要な場
合がある。前記SYSTEM HILOプログラムは、
上述したSun-3ワークステーションで動作する。
【0031】HITESTプログラムは、入力として上
述した前記.CCTネットリストファイル、テストベク
トルの作成に使用される「知識ベース」の記述を含む.
KDBファイル、及び入出力波形のパラメータを含む.
DWLファイルを用いる。前記.KDBファイルの定義
及び利用については、同じく英国フェアラムのジェンラ
ット・フェアラム・リミテッド(GemRad FarehamLimite
d)から入手可能な「HITEST Test Generator Reference
Manual」に記載されている。前記.DWLファイルの
定義及び利用については、同じくジェンラッド・フェア
ラム・リミテッドから入手可能な「HITEST DWL Referen
ce Manual」に記載されている。
【0032】上述した入力ファイルによって、前記HI
TESTプログラムは、一組のテストベクトルを含む出
力ファイル.TABを提供することができる。この.T
ABファイルは、前記.CCTファイルに記載される論
理回路をテストする際の刺激として使用することを目的
としている。このブロック204によって表されるステ
ップに於て故障検出分析を用いて、前記テストベクトル
により適当な故障検出率を確保する。HITESTによ
って、故障シミュレーション及びテストベクトル作成時
に発生する例外状態を要約するファイル名拡張子.LO
Gによって識別されるログファイルが提供される。こ
の.LOGファイルは、いずれのプログラムに関しても
入力ファイルとしては使用されない単なるユーザの記録
である。
【0033】任意により、前記HITESTモジュール
は、例えばカスタマによって作成される一組の「種」の
テストベクトルを受けることもできる。HITEST
は、これらの種のベクトルから学習してかつそれらに基
づいて、前記種のベクトルを含む一組のテストベクトル
をより早く作成して、カスタマから供給されたPLDを
テストできるようにする。
【0034】或る実施例では、前記.CCTファイル及
び.TABファイルが、前記.CCTファイルに記述さ
れる論理要素を有する回路に於て、前記.TABファイ
ルに於て供給される前記刺激信号が前記回路に印加され
る時に生じる信号の伝搬遅れを評価するブロック207
のプログラムARCISへの入力である。ARCISの
動作及び利用については、上述したマトラ・デザイン・
セミコンダクター発行の「GATEAID PLUS/PC 2.0 User’
Manual」第2版(1988年)に記載されている。
【0035】ARCISを動かす前に、前記.CCTフ
ァイル及び.TABファイルをARCISが受入れ可能
なフォーマットに変換することが必要である。即ち、ブ
ロック206は、.TABファイルを受けてそれから.
SIMファイルを作成する変換プログラムを表してい
る。ブロック206によって表される前記変換プログラ
ムは、.TABファイルからの期待出力信号を、ARC
ISがこれらの信号を再計算することから、削除する。
また、前記変換プログラムは、前記.SIMファイルの
列が前記.TABファイルのそれと異なる順序になるよ
うにする。更に、前記.SIMファイルは次のような前
記ARCISプログラムのコマンドを含んでいる。
【0036】1.$CYCLE1は、前記.SIMファ
イルに掲載された時間に関する乗算器(この場合、1.
0)である。
【0037】2.$LOAD 50は、50pFの負荷が
ピン14〜23に存在することを示している。
【0038】3.VCC CLKO 100は、前記P
OR機能を正しくシミュレートするのに必要な電力入力
波形を表している。特に、前記VCC入力信号は最初の
10nsの間低くそれから高くなりかつ高い値を維持し、
それによって前記POR機能への遷移信号を供給する。
【0039】4.$PRINTは、ARCISによって
印字されるべき出力信号を掲載している。
【0040】5.$PATTERNは、前記入力信号に
関する真理値表のフォーマットである。$PATTER
Nのすぐ後に続く行は、前記.SIMファイルに於て入
力信号が供給される順序を掲載している。この$PAT
TERNの情報は、$EOP(エンドオブパターン)と
表示された行で終了する。
【0041】6.$TIME 87000,2000
は、8700nsが経過するまで200nsの間隔でシミュ
レートしかつ印字するようにARCISを指示してい
る。(前記.SIMファイルに掲載されている時間は、
10ナノ秒の単位で表現されている。)
【0042】上述したように、ARCISが受入ること
ができるフォーマットに前記.CCTファイルを変える
ことが必要である。ブロック203は.CCTファイル
を.INファイルに変換するプログラムを示している。
容易に理解されるように、この.INファイルは.CC
Tファイルの全情報を含んでいるが、入出力の順序はわ
ずかに再編集されている。
【0043】また、ARCISは、全ての前記ゲート、
バッファ及びフリップフロップ伝搬遅れを含むビルトイ
ン・ライブラリからの情報を受けて、各デバイスが駆動
しなければならない(即ち、ファン−アウト)入力リー
ドの数を考慮して、デバイス全体の各ノード及び出力リ
ードに於け信号変化を計算する。即ち、入力ファイル.
SIMは、ARCISに対して、時刻T=1000nsに
於て入力バッファに印加される信号が高くなると、AR
CISがバッファの遅延及び駆動能力に関するライブラ
リパラメータを調べて、バッファの特性及び該バッファ
が駆動する入力リードの数に基づいて、前記バッファに
よって生じる伝搬遅れを判断する。バッファのファン−
アウトに基づいて、そのバッファが5nsの遅延時間を有
する場合には、ARCISが、前記バッファの出力信号
がT=1005nsの時刻に於て状態を変化させるように
計算する。ARCISは、前記回路全体に於ける信号の
伝搬に関して同様の計算を行なう。
【0044】ARCISは、出力ファイルを様々なフォ
ーマットで供給することができる。例えば、ARCIS
は、前記回路の各信号の遷移の時間を示す出力ファイル
を提供することができる。これは、シミュレートされて
いるデバイスがデバイスのタイミングの目標に適合する
かどうかを決定する際に用いることができる。
【0040】また、ARCISを用いて、一定の間隔、
例えば200ns毎に出力信号の状態を示す出力ファイル
を提供することができる。ARCIS出力ファイル.O
UTは、200nsの間隔で各入出力ピンの状態を示す。
この.OUTファイルは、テストベクトルを生成してカ
スタマが供給したPLDをテストするために用いられ
る。
【0041】.OUTファイルは、単に200ns毎のデ
バイスの状態を有するだけであることから、上述したネ
ットリスト.INファイルに於て供給されるデバイスの
タイミング性能に関する情報は基本的に全く含まない点
に注意しなければならない。即ち、ARCISによって
提供される.OUTファイルはタイミングテストを前記
PLDに反映しない。これは、この時点に於て機能テス
トのみが実行されているからである。
【0042】ARCISが前記PLDをテストする機能
ベクトルを生成するために使用されるのに対して、HI
TESTは前記PLDをテストするために使用すること
ができるテストベクトルをも提供する点が注目される。
即ち、HITESTによって生成されたテストベクトル
またはARCISによって生成されたテストベクトルの
いずれかを用いて本発明を実施することができる。AR
CISに加えて、例えばHILO、VIEWSIM(米
国カリフォルニア州サンタクララのビューロジック・イ
ンコーポレイテッド(ViewLogic, Inc.)から入手可
能)等の他のゲートレベルのシミュレータを用いること
ができる。
【0043】本発明の方法に於ける次のステップは、前
記PLDをテストするために使用される実際のテストプ
ログラムを作成することである。これを達成するため
に、ブロック216のフォーマット変換プログラムを用
いて、前記.OUTテストベクトルがIMSテスタ20
9によって使用されるフォーマットによって変換され
る。この実施例では、使用される前記テスタがIMSテ
スタである。IMSテスタは、米国オレゴン州ビーバー
トンに所在するアイエムエス・インコーポレイテッド
(IMS, Inc.)から入手可能である。しかしながら、シ
ュラムバーガー・コーポレイション(Schlumberger Cor
poration)から入手可能なセントリー(Sentry)テスタ
のような他のテスタを用いることもできる。当然なが
ら、フォーマット変換は、使用されるテスタの種類につ
いてそれぞれ提供することが必要な場合がある。
【0044】ブロック203によって表される変換プロ
グラムは、.CCTファイルを受け、かつそれに応答し
て、前記.CCTファイルと同じネットリスト情報を有
する.INファイルを生成する。重要なことは、前記.
INファイルがブロック205の変換プログラムPAD
PINが受け取るフォーマットになっていることであ
る。このPADPINプログラムは、データベースM
D.PAD、ネットリスト.INファイルからピン及び
パッド(レイアウト)情報を抽出して、テストセットア
ップ情報を提供する出力ファイル.NP1を提供する。
(またPADPINは、後述するデバイスレイアウト過
程で使用される.PADファイルを作成する。)
【0045】前記.NP1ファイルに於て提供される情
報には、入力ピンであるか出力ピンであるかに拘らず各
ピンの数に対して、提供される出力バッファの種類、提
供される入力バッファまたは出力バッファイルの種類
(例えば、ピンが入力ピンの場合には、コンパーチブル
なかつ/またはプルアップまたはプルダウンを含むTT
LまたはCMOS)、IIL/IIHまたはIOL/I
OH電流限界(即ち、ピンが入力ピンの場合には、入力
信号が低い場合及び高い場合にそれぞれ入力電流が制限
され、または入力ピンが出力ピンの場合には、出力信号
が低い場合及び高い場合にそれぞれ出力信号が制限され
る)及び前記テスタのどのタイミングジェネレータ(T
G)が割当てられたかが含まれる。重要なことは、前
記.INファイルがどの種類のバッファが各入力ピンに
接続されているかを示しているので、PADPINが単
に、それぞれの種類のバッファについてパラメータ情報
を有するライブラリMD.PADからDCパラメータ情
報を検索するだけということである。(前記MD.PA
Dに於ける略称のPU、PD及びONは、それぞれ「プ
ルアップ」、「プルダウン」、及び「オープン・ドレイ
ン」に対応する。「O/Z」はトリステート出力であ
る。「I/O」は双方向のピンである。)
【0046】前記PADPINの前記.NP1出力ファ
イルはブロック208のプログラムNP1TOSETに
供給されて、テスタセットアップのためのNP1TOS
ET出力ファイル(ファイル拡張子.SET及び.PI
Nによって識別)を提供する。前記.SETファイルは
前記IMSテスタのプログラムであり、かつ前記テスタ
が支持するフォーマットで前記テスタのリソースアロケ
ーションを定義し、かつ各ピンの属性を定義する。NI
1TOSETは、前記.NP1ファイルの本実施例の前
記IMSテスタとのインタフェイスのために設けられて
いる。別のテスタが使用される場合には、テスタのイン
タフェイスを提供するために類似のソフトウエアプログ
ラムを必要とする場合がある。前記.NP1ファイルに
含まれる情報を各テスタにとって適したフォーマットに
変換するために使用される記述は当業者にとって周知で
ある。
【0047】前記IMSテスタは、テストベクトルを含
む第2の.IMSファイルを必要とする。これは、前記
ARCISシミュレーションから入出力の波形及びNP
1TOSETから前記.PINファイルを受けて、それ
から入力ファイルとして前記IMSテスタが受入れ可能
なファイル名拡張子.SIMによって識別される出力フ
ァイルを生成するブロック216の翻訳ソフトウエアに
よって提供される。この.IMSファイルは、テスト中
の前記PLDに印加される前記入力波形と、前記PLD
デバイスの実際の出力波形と比較することによって前記
テスタが前記GASP生成論理回路の機能の正確性を分
析するために使用する期待出力波形とを前記テスタに提
供する。
【0048】前記.IMSファイルに於て供給されかつ
前記.SETファイル及び.PINファイルからのコン
フィグレーション情報を有する入力刺激波形と共に、前
記テスタは、カスタマが提供した前記PLDのピンに前
記刺激波形を印加する。前記PLDの応答は、前記IM
Sファイルの期待出力波形に対して比較される。このス
テップは、機能照合として知られている。前記GASP
によって得られる論理回路が高レベルの故障検出率(9
6〜100%)を有する一組のテストベクトルを使用す
る前記PLDデバイスに受入れ可能な置換物である場合
には、前記回路シミュレータARCIS(または等価の
回路シミュレータ)によって提供される期待出力波形及
び前記PLD出力波形が同じになる。さもなければ、前
記ネットリストは、デバッギングしかつ再シミュレート
しなければならない。
【0049】合成された前記回路が高レベルの故障検出
率(96〜100%)を有する一組のテストベクトルを
用いて実際のPLDデバイスに対して比較されることか
ら、受入れられた前記合成回路は必然的に前記PLDデ
バイスの正確なモデルである。この場合に、このモデル
の特性が前記レイアウト作成過程に於て維持されること
を条件として、ファクトリ・プログラムドデバイスに於
けるこのモデルのインプリメンテーションは前記PLD
デバイスの適正な代替物であると考えることができる。
【0050】前記レイアウト作成過程がブロック211
によって表されている。この実施例では、専用に作られ
るマスク層のレイアウトが、米国カリフォルニア州メン
ロパークに所在するシルバー−リスコ・コーポレイショ
ン(Silver-Lisco Corporation)から市販されているプ
ログラムであるGARDSによって合成される。当然な
がら、ゲートアレイのようなASIC即ち専用IC技術
に適した他のレイアウト作成ツールを用いることができ
る。前記GARDSシステムは、「Silver-Lisco/GARDS
(登録商標)Command Refrence Manual」、Vol.l、文
献番号M−GDS−6.0−C1A、1988年7月に
記載されている。
【0051】ソフトウエアプログラムARCTOSDL
が、前記.INファイルに於て提供される前記論理ネッ
トリストを前記GARDSシステムが受入れる前記SD
Lフォーマットに翻訳する。前記SDLフォーマットフ
ァイルは、ファイル拡張子.SDLによって識別され
る。前記SDLフォーマットは、上述したシルバー−リ
スコ・コーポレイションから入手可能な1984年7月
発行の「SDL-The Structured Design Language Referen
ce Manual」(文献番号M−037−2)に記載されて
いる。当然ながら、GARDSの代わりに別の販売者に
よるレイアウト作成ソフトウエアを用いる場合には、前
記.INファイルを前記レイアウト作成ソフトウエアが
受入れるフォーマットに変換する変換プログラムが必要
な場合がある。また、GARDSは、ピンアウト情報を
有する.PADファイルを使用する。
【0052】前記GARDSシステムは、デザインルー
ル及び前記マスク層の指定(designation)を有する。
前記デザインルール及びマスク層指定は、ASIC販売
者が意図する製造プロセスに専用のものである。また、
前記GARDSシステムは、レイアウトの設計者が特定
の条件に適合するように手で配置(placement)及びル
ーティングを行なうことができるように、配置及びルー
ティング過程に於ける人手による介在を許容している。
前記配置及びルーティング過程の出力は、当業者にとっ
て周知のCALMAストリームフォーマットであるファ
イル拡張子.SLGDSによって識別されるファイルに
提供される。この.SLGDSファイルは、セルの配置
及びルーティング情報のみを有する。後述するように、
実際のマスクデータを作成するためには、前記配置及び
ルーティング情報に従ってタイミング照合の後にセル及
びアレイの物理的レイアウトがマージされる。
【0053】「バック・アノテーション」のために作成
された前記レイアウトから寄生インピーダンスを抽出す
るためにソフトウエアプログラムが設けられる。このプ
ログラムは、作成された前記レイアウトから寄生インピ
ーダンスを記述する出力ファイル.DLYを提供する。
前記.DLYファイルは、各電気的ノードの容量性負
荷、及びもし存在するならば各入力ノードと各出力ノー
ドとの間の各電気経路の遅れとを掲載している。
【0054】前記寄生インピーダンスは、ポスト−レイ
アウトシミュレーションを実行するために使用される。
このようなポスト−レイアウトシミュレーションは、回
路の実際のジオメトリから推定される寄生インピーダン
スが、ARCISによって実行された先のプレ−レイア
ウトシミュレーションから得ることができるものより正
確な回路性能の推定値が得られることから望ましい。A
RCIS以外の別のシミュレータが使用される場合に
は、そのシミュレータのためのバック・アノテーション
技術を用いることが必要となる。このような変換技術は
当業者にとって周知である。
【0055】前記ポスト−レイアウトシミュレーション
は、上述したプレ−レイアウトシミョレーションと同じ
手法で実行される。前記ポスト−レイアウトシミュレー
ションの結果は、前記PLD製造者のデータシートに特
定されるタイミングに対して分析される(本実施例で
は、22V10のデータシートを米国カリフォルニア州
サニーベイルに所在するアドバンスト・マイクロ・デバ
イシーズ・インコーポレイテッドから入手することがで
きる)。同様に、前記シミュレーションによって得られ
る結果が前記PLDデータシートによって得られるもの
と適合しない場合には、前記ASIC販売者が作成され
た前記レイアウトを変更し、かつ受入れ可能なレイアウ
トが得られるまで、カスタマの介在なしに前記回路を再
シミュレートする。
【0056】前記ASIC販売者が前記機能照合及びタ
イミング照合に満足している場合には、最終デザインル
ールチェックを行なって最終設計が目的とする製造プロ
セスのデザイン・ルールに一致しているという確信が得
られる。この時点に於て、上述したように得られた前記
配置及びルーティング情報を前記ASIC販売者の回路
技術に特定の物理的レイアウトライブラリにマージする
ことによって、物理的なレイアウトが完了する。このス
テップは通常レイアウトワークステーションに於て人手
により行われるか、自動プログラムを用いることもでき
る。また、前記マスクデータが前記レイアウトを作成す
るために設けられた論理回路ネットリストを実施するか
どうかが、この時点に於てチェックすることができる。
【0057】これらの照合は、本実施例のブロック21
2に於て、米国カリフォルニア州サンノゼに所在するカ
デンス・デザイン・システムズ,インコーポレイテッド
(Cadence Design Systems, Inc.)から入手されるDR
C(デザイン・ルールチェッカ)及びLVS(論理分析
システム)によってそれぞれ実行される。DRCシステ
ム及びLVSシステムは、入力として上述した最終の物
理的回路レイアウト及びネットリストを使用し、かつ場
合によってデザイン・ルールの違反または回路の不適合
に関するエラーレポートを提供する。
【0058】前記ネットリストを前記マスクデータと比
較するために、本実施例では前記.INネットリストフ
ァイルを前記LVSシステムが受入れ可能なLOGIS
ネットリストフォーマットに変換することが必要であ
る。このLOGISフォーマットは、上述したカデンス
・デザイン・システムズ,インコーポレイテッドから入
手することができる。このような変換技術は周知であ
る。
【0059】また、前記DRCシステムによって、よく
使用されるGDS IIフォーマットで表されるファイ
ル拡張子.SIZED.GDSによって識別される出力
ファイルで目的とする製造プロセスに合わせて大きさを
調整されたマスク層が提供される。DRCシステム及び
LVSシステムは、匹敵する機能性を提供する他のシス
テムに置き換えることができる点に注意すべきである。
前記DRCシステム及びLVSシステム双方は、前記
ASIC販売者の回路技術に専用のライブラリを必要と
する。このようなライブラリを提供するための技術は当
業者にとって周知である。
【0060】最後に、前記.SIZED.GSフォーマ
ットは、マスク製造装置の入力仕様に「フラクチャー」
(fracture)され、かつそのような装置によって読み取
ることができる「MEBES」ファイルで提供される
(ブロック231)。このフラクチャリング(fracturi
ng)技術は当業者にとって周知であり、このために適し
た多くのパッケージが市販されている。前記出力ファイ
ルは、適当な媒体を会してマスク販売者に供給される。
次にマスクが製造されかつそれを用いてカスタマへ供給
するための集積回路が構成される。
【0061】要約すれば、本発明によってPLDを大量
生産に適したファクトリ・プログラムドデバイスに正確
に変換するための方法が提供される。更に、前記方法が
高度に自動化されていることから、カスタマが機能PL
D及びその論理技術を提供してからマスク層が合成され
るまでのスループット時間が従来技術に於ける数週間か
ら、本発明によれば前記PLDデバイスの複雑さに応じ
て数日または数時間にまで短縮される。このように時間
及びコストを節約できる利点は自明である。
【0062】図4及び図5は、上述したデータ・I/O
コーポレイションから入手可能なPLDプログラマを使
用する本発明の第2実施例を示している。このPLDプ
ログラマについては、データ・I/O社による1990
年4月1日発行の「USUSERMAN」(文献番号98110 1400
8)に記載されている。図2及び図3と図4及び図5と
にそれぞれ示される第1実施例と第2実施例との相違
は、使用される前記テスタ(即ち、IMS対データ・I
/O)にある。比較を容易にするために、図2及び図3
のブロックと同一の図4及び図5に於けるブロックは図
2及び図3の対応部分と同じ参照符号を付して示してい
る。同じ理由で、これらの対応するブロックの説明は繰
り返しを避けるために省略する。図2及び図3のブロッ
ク208、216、209のインプリメンテーションと
異なるブロック308、309についてのみ説明する。
【0063】図4に示されるように、ブロック308の
変換プログラムは、前記テスタへのコンフィグレーショ
ン・ディレクティブだけでなく、前記PLDデバイスに
印加されるべき入力刺激波形及び前記PLDデバイスの
出力を比較する前記出力波形とを有するテスタ入力ファ
イル.JEDをアセンブルするために、ARCIS出力
ファイル.OUT及び前記PADPIN出力ファイル.
NP1に於て動作する。
【0064】ブロック309は、米国オレゴン州ビーバ
ートンに所在するデータ・I/O・コーポレイション
(Data I/O Corp.)から入手可能なデータ・I/O・P
LDプログラマである。
【0065】特に上述した相違点を除いて、図4及び図
5に示される実施例の動作は図2及び図3に示される実
施例と同じである。
【0066】上記説明は、上述した本発明の特定な実施
例を説明するためのものである。本発明の技術的範囲内
に於て様々な変形・変更を加えることが可能である。本
発明の技術的範囲内に於けるいくつかの例として、
(i)前記自動レイアウト作成ソフトウェアは市販され
ている他の様々な自動レイアウト作成ソフトウェアとす
ることができること、(ii)先にプログラムされたPL
Dデバイスをソフトウェアモデルに対して照合する際に
使用されるテスタは、市販されている様々なテスタとす
ることができること、及び(iii)各ファイル変換プロ
グラムは、上述したように市販されているまたは他の様
々なファイル変換プログラムとすることができることで
ある。
【0067】前記PLDは、ヒューズ−プログラマブル
デバイス、アンチヒューズ・プログラマブルデバイス、
またはフローティングゲート・プログラマブルデバイス
とすることができる。前記PLDに対する代替物のマス
クプログラムドデバイスとなるべき回路は、NMOS、
PMOS、CMOS、BICMOS、バイポーラまたは
他の様々な技術がある。マスクプログラムドデバイスの
専用化は、金属配線をマスクパターニングし、マスクプ
ログラムされた位置にバイヤ(via)を設け、マスクプ
ログラムされた位置にコンタクトを設け、マスクプログ
ラムされた位置にトランジスタゲートまたは上述したマ
スクプログラム技術の様々な組み合わせを設けることに
よって達成することができる。前記マスクプログラマブ
ルデバイスはゲートアレイ、マスクプログラマブルPA
L、カスタムセル論理回路、またはフルカスタム論理回
路とすることができる。また、本発明を用いて、PLD
の代わりに別のマスクプログラムドデバイスに置き換え
られるべきマスクプログラムドデバイスを構成すること
ができる。
【0068】上述した実施例では前記ASIC販売者が
カスタマから論理式を受け取ったが、別の実施例では、
前記ASIC販売者が受け取るものを別の型式の論理回
路技術、例えば真理値表または回路図の記述とすること
ができる。また、本発明は、前記PLDのユーザが別の
会社からのカスタマではなく、前記ASIC設計グルー
プと同じ会社内部のカスタマであるように実行し得るこ
とも注意しなければならない。
【図面の簡単な説明】
【図1】従来技術によるPLDデバイスをファクトリ・
プログラムドデバイスに変換するために必要な各ステッ
プを示すフロー図である。
【図2】本発明によるPLDデバイスをファクトリプロ
グラムドデバイスに変換するためのシステムの第1実施
例を分割した部分を示すブロック図である。
【図3】図2に示す変換システムの第1実施例の残りの
部分を示すブロック図である。
【図4】本発明によるPLDデバイスをファクトリ・プ
ログラムドデバイスに変換するためのシステムの第2実
施例を分割した部分を示すブロック図である。
【図5】図4の変換システムの第2実施例の残りの部分
を示すブロック図である。
【図6】マスクプログラマブルデバイスに変換されるプ
ログラマブル論理デバイスの一例に於て使用される論理
デバイスを概略的に示す回路図である。
【図7】図6と同様の別の論理デバイスを示す回路図で
ある。
【図8】図6と同様の別の論理デバイスを示す回路図で
ある。
【図9】図6と同様の別の論理デバイスを示す回路図で
ある。
【図10】図6と同様の別の論理デバイスを示す回路図
である。
【図11】図6と同様の別の論理デバイスを示す回路図
である。
【図12】図6と同様の別の論理デバイスを示す回路図
である。
【図13】図6と同様の別の論理デバイスを示す回路図
である。
【図14】図6と同様の別の論理デバイスを示す回路図
である。
【図15】図6と同様の別の論理デバイスを示す回路図
である。
【符号の説明】
100〜106 ステップ 201〜213 ブロック 308、309 ブロック
フロントページの続き (51)Int.Cl.5 識別記号 庁内整理番号 FI 技術表示箇所 G06F 15/60 360 D 7922−5L H01L 21/82 H03K 19/173 101 7827−5J 9169−4M H01L 21/82 A (72)発明者 ミシェル・ジェイ・キャンプマス アメリカ合衆国カリフォルニア州94303・ パロアルト・メイプルウッドアベニュー 764 (72)発明者 ウィリアム・エイ・ジョンストン アメリカ合衆国カリフォルニア州95136・ サンノゼ・ヘインズ・アベニュー 4310 (72)発明者 ガイビン・チェン アメリカ合衆国カリフォルニア州95014・ クパーティーノ・マックリントックレイン 10329

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】 論理記述と該論理記述を実施するサン
    プルデバイスとを用いてファクトリ・プログラムドデバ
    イスを製造するためのシステムであって、 前記論理記述を受けて、それから論理回路のコンピュー
    タモデルを作成する第1手段と、 前記コンピュータモデルから刺激信号及び期待出力信号
    を含むテストプログラムを作成する第2手段と、 前記サンプルデバイスをテストして前記サンプルデバイ
    スから前記刺激信号に応答する出力信号を得、かつ前記
    サンプルデバイスによって供給される出力信号に対して
    前記期待出力信号を照合する第3手段とを備えることを
    特徴とするファクトリ・プログラムドデバイスの製造シ
    ステム。
  2. 【請求項2】 前記コンピュータモデルから物理的回
    路レイアウトを作成する第4手段を更に備えることを特
    徴とする請求項1に記載のファクトリ・プログラムドデ
    バイスの製造システム。
  3. 【請求項3】 前記第1手段がエキスパートシステム
    からなることを特徴とする請求項1に記載のファクトリ
    ・プログラムドデバイスの製造システム。
  4. 【請求項4】 前記第2手段が故障をシミュレートす
    るための手段を更に備えることを特徴とする請求項1に
    記載のファクトリ・プログラムドデバイスの製造システ
    ム。
  5. 【請求項5】 論理記述及び該論理記述を実施するサ
    ンプルデバイスを用いてファクトリ・プログラムドデバ
    イスを製造するための方法であって、 前記論理記述から論理回路のコンピュータモデルを供給
    する過程と、 前記サンプルデバイスをテストするためのテスト刺激信
    号を生成し、かつ前記コンピュータモデルを用いて前記
    刺激信号から生じる期待出力信号を生成する過程と、 前記刺激信号を前記サンプルデバイスに供給して、前記
    サンプルデバイスの出力信号を得る過程と、 前記出力信号を前記期待出力信号に対して照合する過程
    とからなることを特徴とするファクトリ・プログラムド
    デバイスの製造方法。
  6. 【請求項6】 前記照合過程の後に、前記コンピュー
    タモデルから物理的な回路レイアウトを提供する過程を
    更に含むことを特徴とする請求項5に記載のファクトリ
    ・プログラムドデバイスの製造方法。
JP3318390A 1990-11-07 1991-11-06 ファクトリ・プログラムドデバイスの製造システム及び製造方法 Pending JPH05167046A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/610,479 US5717928A (en) 1990-11-07 1990-11-07 System and a method for obtaining a mask programmable device using a logic description and a field programmable device implementing the logic description
US07/610,479 1990-11-07

Publications (1)

Publication Number Publication Date
JPH05167046A true JPH05167046A (ja) 1993-07-02

Family

ID=24445175

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3318390A Pending JPH05167046A (ja) 1990-11-07 1991-11-06 ファクトリ・プログラムドデバイスの製造システム及び製造方法

Country Status (3)

Country Link
US (1) US5717928A (ja)
EP (1) EP0485199A2 (ja)
JP (1) JPH05167046A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007524911A (ja) * 2003-06-23 2007-08-30 アルテラ コーポレイション マスクプログラム可能なロジックデバイスをプログラムする方法およびその方法によってプログラムされたデバイス
JP2012157054A (ja) * 2004-07-02 2012-08-16 Altera Corp プログラマブル論理の特定用途向け集積回路等価物および関連の方法

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5550839A (en) * 1993-03-12 1996-08-27 Xilinx, Inc. Mask-programmed integrated circuits having timing and logic compatibility to user-configured logic arrays
TW421761B (en) * 1994-04-12 2001-02-11 Yokogawa Electric Corp Verification support system
US5923567A (en) * 1996-04-10 1999-07-13 Altera Corporation Method and device for test vector analysis
US6117179A (en) * 1998-02-23 2000-09-12 Advanced Micro Devices, Inc. High voltage electrical rule check program
US6430732B1 (en) 1998-03-27 2002-08-06 Xilinx, Inc. Method for structured layout in a hardware description language
US6292925B1 (en) 1998-03-27 2001-09-18 Xilinx, Inc. Context-sensitive self implementing modules
US6216258B1 (en) 1998-03-27 2001-04-10 Xilinx, Inc. FPGA modules parameterized by expressions
US6237129B1 (en) * 1998-03-27 2001-05-22 Xilinx, Inc. Method for constraining circuit element positions in structured layouts
US6243851B1 (en) 1998-03-27 2001-06-05 Xilinx, Inc. Heterogeneous method for determining module placement in FPGAs
US6260182B1 (en) 1998-03-27 2001-07-10 Xilinx, Inc. Method for specifying routing in a logic module by direct module communication
US6219819B1 (en) * 1998-06-26 2001-04-17 Xilinx, Inc. Method for verifying timing in a hard-wired IC device modeled from an FPGA
US6311316B1 (en) * 1998-12-14 2001-10-30 Clear Logic, Inc. Designing integrated circuit gate arrays using programmable logic device bitstreams
US7155711B2 (en) * 1999-12-10 2006-12-26 Sedna Patent Services, Llc Method and apparatus providing remote reprogramming of programmable logic devices using embedded JTAG physical layer and protocol
JP4493173B2 (ja) * 2000-07-27 2010-06-30 株式会社ルネサステクノロジ バックアノテーション方法
US7562350B2 (en) * 2000-12-15 2009-07-14 Ricoh Company, Ltd. Processing system and method using recomposable software
US7082104B2 (en) * 2001-05-18 2006-07-25 Intel Corporation Network device switch
US7093224B2 (en) 2001-08-28 2006-08-15 Intel Corporation Model-based logic design
US20030046051A1 (en) * 2001-08-29 2003-03-06 Wheeler William R. Unified design parameter dependency management method and apparatus
US7130784B2 (en) * 2001-08-29 2006-10-31 Intel Corporation Logic simulation
US6983427B2 (en) * 2001-08-29 2006-01-03 Intel Corporation Generating a logic design
US6859913B2 (en) * 2001-08-29 2005-02-22 Intel Corporation Representing a simulation model using a hardware configuration database
US7107201B2 (en) * 2001-08-29 2006-09-12 Intel Corporation Simulating a logic design
US20030046054A1 (en) * 2001-08-29 2003-03-06 Wheeler William R. Providing modeling instrumentation with an application programming interface to a GUI application
US7073156B2 (en) * 2001-08-29 2006-07-04 Intel Corporation Gate estimation process and method
US20030135802A1 (en) * 2002-01-14 2003-07-17 Klein Jeff C. Verification test method for programmable logic devices
US7197724B2 (en) 2002-01-17 2007-03-27 Intel Corporation Modeling a logic design
US20030145311A1 (en) * 2002-01-25 2003-07-31 Wheeler William R. Generating simulation code
US7043711B2 (en) * 2002-06-26 2006-05-09 Polar Semiconductor, Inc. System and method for defining semiconductor device layout parameters
US7069533B2 (en) * 2003-03-14 2006-06-27 Chatered Semiconductor Manufacturing, Ltd System, apparatus and method for automated tapeout support
US7363236B2 (en) * 2003-03-14 2008-04-22 Chartered Semiconductor Manufacturing Ltd. System, apparatus and method for reticle grade and pricing management
US20060031479A1 (en) * 2003-12-11 2006-02-09 Rode Christian S Methods and apparatus for configuration, state preservation and testing of web page-embedded programs
US7260807B2 (en) * 2003-12-12 2007-08-21 Synopsys, Inc. Method and apparatus for designing an integrated circuit using a mask-programmable fabric
DE102006001776A1 (de) * 2005-01-12 2006-11-09 Advanced Testing Technologies, Inc. Testprogrammsatz Minimierung der Veralterung durch Software- und automatische Testgeräteprozesse
US8484618B2 (en) 2005-01-12 2013-07-09 Advanced Testing Technologies, Inc. Test program set obsolescence mitigation through software and automatic test equipment processes
US7970594B2 (en) * 2005-06-30 2011-06-28 The Mathworks, Inc. System and method for using model analysis to generate directed test vectors
US8856701B1 (en) * 2013-03-12 2014-10-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of radio-frequency and microwave device generation

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4590581A (en) * 1983-05-09 1986-05-20 Valid Logic Systems, Inc. Method and apparatus for modeling systems of complex circuits
US4829427A (en) * 1984-05-25 1989-05-09 Data General Corporation Database query code generation and optimization based on the cost of alternate access methods
US5089985A (en) * 1988-04-07 1992-02-18 International Business Machines Corporation System and method for performing a sort operation in a relational database manager to pass results directly to a user without writing to disk
JP2609280B2 (ja) * 1988-04-22 1997-05-14 株式会社日立製作所 シミュレーション方法
US4901259A (en) * 1988-08-15 1990-02-13 Lsi Logic Corporation Asic emulator
US5051938A (en) * 1989-06-23 1991-09-24 Hyduke Stanley M Simulation of selected logic circuit designs
IL94115A (en) * 1990-04-18 1996-06-18 Ibm Israel Dynamic process for creating pseudo-random test templates for pompous hardware design violence
US5201046A (en) * 1990-06-22 1993-04-06 Xidak, Inc. Relational database management system and method for storing, retrieving and modifying directed graph data structures
US5258919A (en) * 1990-06-28 1993-11-02 National Semiconductor Corporation Structured logic design method using figures of merit and a flowchart methodology

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007524911A (ja) * 2003-06-23 2007-08-30 アルテラ コーポレイション マスクプログラム可能なロジックデバイスをプログラムする方法およびその方法によってプログラムされたデバイス
JP2010182326A (ja) * 2003-06-23 2010-08-19 Altera Corp マスクプログラム可能なロジックデバイスをプログラムする方法およびその方法によってプログラムされたデバイス
JP2010182327A (ja) * 2003-06-23 2010-08-19 Altera Corp マスクプログラム可能なロジックデバイスをプログラムする方法およびその方法によってプログラムされたデバイス
JP2012157054A (ja) * 2004-07-02 2012-08-16 Altera Corp プログラマブル論理の特定用途向け集積回路等価物および関連の方法

Also Published As

Publication number Publication date
EP0485199A2 (en) 1992-05-13
US5717928A (en) 1998-02-10
EP0485199A3 (ja) 1994-02-16

Similar Documents

Publication Publication Date Title
JPH05167046A (ja) ファクトリ・プログラムドデバイスの製造システム及び製造方法
US6083269A (en) Digital integrated circuit design system and methodology with hardware
US8682631B2 (en) Specifications-driven platform for analog, mixed-signal, and radio frequency verification
US5278769A (en) Automatic logic model generation from schematic data base
US5933356A (en) Method and system for creating and verifying structural logic model of electronic design from behavioral description, including generation of logic and timing models
US6925621B2 (en) System and method for applying timing models in a static-timing analysis of a hierarchical integrated circuit design
US6353915B1 (en) Methods for evaluating systems of electronic components
US8234617B2 (en) Method and system for re-using digital assertions in a mixed signal design
JP2002215712A (ja) Ic設計の検証方法
JP2005292144A (ja) 集積回路デバイスを試験するための集積回路デバイス試験を検証する方法及び装置
EP0700008A2 (en) Electronic circuit modeling method and apparatus
TW563043B (en) Method and apparatus for design validation of complex IC without using logic simulation
US5974241A (en) Test bench interface generator for tester compatible simulations
US6370493B1 (en) Simulation format creation system and method
US6629294B2 (en) Tool and method for improving the quality of board design and modeling
US7979262B1 (en) Method for verifying connectivity of electrical circuit components
US6530069B2 (en) Printed circuit board design, testing, and manufacturing process
US7900174B2 (en) Method and system for characterizing an integrated circuit design
US6272665B1 (en) Method and tool for automatically generating engineering change order
US20060026479A1 (en) Verification vector creating method, and electronic circuit verifying method using the former method
US20030188282A1 (en) Design flow method for integrated circuits
US7653519B1 (en) Method and mechanism for modeling interconnect structures for integrated circuits
CN114398852A (zh) 一种soc开发流程
US20030204386A1 (en) Class-based system for circuit modeling
US7047173B1 (en) Analog signal verification using digital signatures