CN110168698A - 用于保形密封电介质封闭而无对底层结构材料的直接RF暴露的SiBN膜 - Google Patents

用于保形密封电介质封闭而无对底层结构材料的直接RF暴露的SiBN膜 Download PDF

Info

Publication number
CN110168698A
CN110168698A CN201780079463.3A CN201780079463A CN110168698A CN 110168698 A CN110168698 A CN 110168698A CN 201780079463 A CN201780079463 A CN 201780079463A CN 110168698 A CN110168698 A CN 110168698A
Authority
CN
China
Prior art keywords
storage
nitrogen
predecessor
exposed
storage material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780079463.3A
Other languages
English (en)
Other versions
CN110168698B (zh
Inventor
M·嘉德瑞
S·慕克吉
P·P·杰哈
D·帕德希
段子青
A·B·玛里克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110168698A publication Critical patent/CN110168698A/zh
Application granted granted Critical
Publication of CN110168698B publication Critical patent/CN110168698B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/38Borides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文中公开的实施方式涉及用于形成存储器器件的方法,且更具体地涉及用于在存储器器件中在存储器材料之上形成电介质封闭层的改进的方法。在一个实施方式中,所述方法包括:在比存储器材料的热预算的温度要低的温度下在存储器材料之上热沉积第一材料;将所述第一材料暴露于氮等离子体以将氮掺入在所述第一材料中;和重复所述热沉积和氮等离子体操作以在所述存储器材料之上形成密封的保形电介质封闭层。因此,形成在所述存储器材料之上的具有密封的保形电介质封闭层的存储器器件。

Description

用于保形密封电介质封闭而无对底层结构材料的直接RF暴露 的SiBN膜
背景技术
技术领域
本文中公开的实施方式涉及用于形成存储器器件的方法,且更具体地涉及用于在高纵横比材料之上形成电介质封闭层的方法,所述高纵横比材料是诸如存储单元器件的基于过渡金属的材料。
相关技术的描述
非易失性随机存取存储器(Nonvolatile Random Access Memory;RAM)器件通常地包括几百万个含有基于过渡金属的材料(诸如铜(Cu))的存储单元,其有助于以(一个或多个)0和(一个或多个)1的形式的存储器存储。将存储器材料与管理存储状态的金属互连件介电分离促进最大化存储单元的操作。典型地通过高温、等离子体处理工艺(诸如等离子体增强化学气相沉积(Plasma Enhanced-CVD;PECVD))以达成电介质封闭材料的沉积。然而,存储器材料具有固定的热预算以避免热损伤。另外,存储器材料也对等离子体损伤敏感。如果敏感的存储器材料劣化,则存储器材料的存储能力通常下降或完全消失。
因此,需要用于沉积存储器器件的电介质封闭层的改进的方法。
发明内容
本文中公开的实施方式涉及用于形成存储器器件的方法,且更具体地涉及用于在存储器器件中在存储器材料之上形成电介质封闭层的改进的方法。在一个实施方式中,所述方法包括:在比存储器材料的热预算的温度要低的温度下在存储器材料之上热沉积第一材料;使第一材料暴露于氮等离子体以将氮掺入在第一材料中;和重复热沉积和氮等离子体操作以在存储器材料之上形成密封的保形电介质封闭层。因此,形成在存储器材料之上的具有密封的保形电介质封闭层的存储器器件。
在一个实施方式中,公开一种方法。所述方法包括:通过在比存储器材料的热预算要低的温度下使第一前驱物在存储器材料之上流动以在存储器材料之上热沉积第一材料;使第一材料暴露于氮等离子体以将氮掺入在第一材料中;和重复热沉积第一材料和使第一材料暴露于氮等离子体以在存储器材料之上形成具有预定厚度的电介质封闭层。
在另一实施方式中,公开一种方法。所述方法包括:通过热化学气相沉积工艺在存储器材料之上沉积第一材料,其包括在低于约300℃的温度下在存储器材料之上使包括甲硅烷和乙硅烷中的一种或多种的含硅第一前驱物流动、和使包括乙硼烷的含硼第一前驱物流动、和使含硅第一前驱物与含硼第一前驱物反应以沉积第一材料;使第一材料暴露于氮等离子体,所述氮等离子体包括选自由氮气和氨气组成的群组的一种或多种含氮气体;以及重复沉积第一材料和使第一材料暴露于氮等离子体以在存储器材料之上形成保形的掺杂氮的硼化硅电介质封闭层。
在又一个实施方式中,公开一种存储器器件。存储器器件包括基板、设置在基板的部分之上的存储器材料、以及设置在存储器材料和基板的被暴露的部分之上的包括SiBN的电介质封闭层。
附图简述
为了能够详细地理解本公开内容的上述特征所用方式,可以参考实施方式更具体地描述上文简要概述的本公开内容,实施方式中的一些示出在附图中。然而,应注意,附图仅示出了示例性实施方式,且因此不应视为限制范围,因为本公开文本可以允许其它等效实施方式。
图1为用于沉积电介质封闭层的工艺流程。
图2A到图2C为根据本文中公开的工艺流程而形成的器件的横截面图。
为了便于理解,已在可能的情况下使用相同的附图标号来指定附图共用的相同的元件。应理解,一个实施方式的元件和特征可以有利地并入到其他实施方式中而无需进一步叙述。
具体实施方式
本文中公开的实施方式涉及用于形成存储器器件的方法,且更具体地涉及用于在存储器器件中在存储器材料之上形成电介质封闭层的改进的方法。在一个实施方式中,所述方法包括:在比存储器材料的热预算的温度要低的温度下在存储器材料之上热沉积第一材料;使第一材料暴露于氮等离子体以将氮掺入在第一材料中;和重复热沉积和氮等离子体操作以在存储器材料之上形成密封的保形电介质封闭层。因此,形成在存储器材料之上的具有密封的保形电介质封闭层的存储器器件。
图1为用于沉积电介质封闭层的工艺流程100。工艺流程100在操作110处开始,在存储器材料和器件的基板被暴露的部分之上热沉积第一材料的层。在操作120处,使器件暴露于氮等离子体处理以将氮化物掺入到第一材料中。在操作130处,循环重复操作110和120,直到已在存储器材料和基板的被暴露的部分之上沉积了适当厚度的电介质封闭层为止。工艺流程100在器件的存储器材料之上形成电介质封闭层而未使用可能损伤存储器材料的高温或等离子体处理工艺。
图2A到图2C为根据本文中公开的诸如工艺流程100的工艺流程形成的器件200(诸如存储器器件)的横截面图。如图2A中所示,器件200包括基板210和存储器材料220。基板210通常是(一种或多种)任何适当的基板材料,包括但不限于硅基板材料(包括非晶硅、多晶硅或单晶硅)以及锗或硅锗基板。用于将信息存储到存储器的存储器材料220通常包括(一种或多种)任何适当的存储器材料,包括但不限于铜(Cu)、铁(Fe)、铪(Hr)和/或钴(Co)。存储器材料220通常在基板210之上形成一个或多个特征。在图2A所示的实施方式中,存储器材料220的一个或多个特征由在基板210上且与基板210接触的一个或多个沟槽分离;然而,特征可以是任何适当的形状和大小。在一个实施方式中,器件200具有高纵横比,例如,90纳米(nm)比40nm的纵横比。纵横比通常是指一个或多个特征的高度(h)与宽度(w)的比率。在进一步实施方式中,器件200可具有任何其他适当的纵横比,诸如3∶1、4∶1、5∶4、4∶3、16∶10和16∶9。
在一个实施方式中,热沉积为热化学气相沉积(CVD)。第一材料230的热沉积通常包括在比存储器材料220的热预算要低的工艺温度下使第一材料前驱物在存储器材料220和基板210的被暴露的部分之上流动。在一个实施方式中,工艺温度低于约300摄氏度(℃),例如在约200℃与约250℃之间,诸如为约235℃。第一前驱物通常包括但不限于含硅(Si)前驱物和/或含硼(B)前驱物。含硅第一前驱物的示例包括但不限于甲硅烷(SiH4)和/或乙硅烷(Si2H6)或更高阶的硅烷。硼第一前驱物的示例为乙硼烷(B2H6)。用于第一前驱物的载气包括但不限于氩气(Ar)和氦气(He)。含硅第一前驱物的气体流速通常在约100标准立方厘米每分钟(sccm)与约700sccm之间。硼第一前驱物的气体流速通常在约20sccm与约400sccm之间。氩气的气体流速通常在约5升每分钟(Lpm)与约10Lpm之间。氦气的气体流速通常在约1Lpm与约5Lpm之间。
第一前驱物彼此反应以在存储器材料220和基板210的被暴露的部分之上形成第一材料230的第一层。在使用含硅和含硼前驱物的示例中,第一材料230为硼化硅(SiBn)材料或掺杂硼的非晶硅(aSi)材料。然而,第一材料可包括可如下文所描述的那样吸收氮的其他材料。由于第一材料230的第一层在低温下且在未使用等离子体的情况下沉积,存储器材料220未受到损伤。然而相比之下,常规的电介质封闭层沉积方法使用高温和/或等离子体处理,这样损伤存储器材料。第一材料230的第一层可在约5埃与约之间,例如在约与约之间或在约与约之间,诸如约
在第一材料230的第一层已经沉积在存储器材料220之上之后,器件200经历氮化工艺。更具体地说,通常使器件200暴露于氮等离子体处理以形成具有氮含量的电介质封闭层240。在一个实施方式中,使器件200暴露于氮等离子体处理以将氮(诸如氮化物)掺入到第一材料230中。氮等离子体处理通常包括使第一材料暴露于含氮气体,诸如氮化气体。含氮气体的示例包括但不限于氮气(N2)和/或氨气(NH3)。氮气的气体流速通常在约5Lpm与约8Lpm之间。氨气的气体流速通常在约500sccm与约2Lpm之间。在约13.56兆赫兹(MHz)的等离子体频率(例如,高频射频)下,用于氮等离子体处理的等离子体功率通常在约100瓦特(W)与约500W之间。
氮等离子体与第一材料230的第一层反应且将氮(例如氮化物)掺入到第一材料230中以形成电介质封闭层240。当含硅和含硼第一前驱物用于沉积第一材料230时,产生的电介质封闭层240包括掺杂氮的硼化硅(SiBN)。
循环重复沉积和氮化工艺直到具有预定厚度的电介质封闭层240已被沉积在存储器材料220和基板210的被暴露的部分之上为止,如图2C中所示。预定厚度可以是例如在约与约之间。在电介质封闭层240的预定厚度为约且工艺流程包括热沉积约的第一材料的实施方式中,通常重复沉积和氮化工艺约10次。在电介质封闭层240的预定厚度为约且工艺流程包括热沉积约的第一材料230的实施方式中,重复沉积和氮化工艺约15次。
所描述的用于沉积电介质封闭层的方法的益处包括但不限于在存储器材料之上形成具有低泄漏电流和高击穿电压的薄的(例如,几纳米或更薄的)、密封的、保形的电介质层而不使存储器材料暴露于高温或等离子体工艺。由于本文中描述的方法在低温下且在未使存储器材料暴露于等离子体的情况下执行,因此维持了存储器材料的完整性以使得存储器材料可有效地存储信息。另外,电介质封闭层的密封特性减少或防止了湿气扩散以及承载存储器的材料所遭受的其他损伤。
本文中描述的实施方式在低温条件下在存储器材料和基板的被暴露的部分之上沉积第一材料。低温条件最小化或避免对存储器材料的损伤,否则由于使用高温或等离子体工艺将导致对存储器材料的损伤。随后,使第一材料暴露于氮化物或氮离子或自由基以将第一膜氮化,因此形成电介质封闭膜。可使用等离子体工艺形成电介质封闭膜,因为第一膜保护存储器材料免遭暴露于等离子体,且因此保护存储器材料免遭由于等离子体暴露而导致的损伤。利用循环沉积和氮化允许最终封闭材料的充分且均匀的氮化。
尽管前述内容考虑在存储器器件(诸如PRAM器件)上沉积电介质封闭层,但本文中描述的方法适用于在期望对其封闭以保护其免遭热量、湿气和/或等离子体的任何对温度敏感的和对等离子体敏感的器件或材料上沉积电介质封闭层。
虽然上述内容针对本公开文本的实施方式,但是也可以在不脱离本公开文本的基本范围的情况下设计本公开文本的其他和进一步实施方式,并且本公开内容的范围由所附权利要求书确定。

Claims (15)

1.一种方法,所述方法包括:
通过在比存储器材料的热预算要低的温度下使第一前驱物在所述存储器材料之上流动来在所述存储器材料之上热沉积第一材料;
使所述第一材料暴露于氮等离子体以将氮掺入在所述第一材料中;和
重复所述热沉积所述第一材料和所述使所述第一材料暴露于所述氮等离子体,以在所述存储器材料之上形成具有预定厚度的电介质封闭层。
2.如权利要求1所述的方法,其中所述温度低于约300℃。
3.如权利要求1所述的方法,其中所述第一前驱物包括含硅前驱物和含硼前驱物。
4.如权利要求3所述的方法,其中所述含硅前驱物包括甲硅烷(SiH4)和乙硅烷(Si2H6)中的一种或多种,且其中所述含硼前驱物为乙硼烷(B2H6)。
5.如权利要求1所述的方法,其中所述氮等离子体包括氮气(N2)和氨气(NH3)中的一种或多种。
6.如权利要求5所述的方法,其中N2的流速在约5Lpm与约8Lpm之间,且其中NH3的流速在约500sccm与约2Lpm之间。
7.如权利要求1所述的方法,其中所述电介质封闭层包括掺杂氮的硼化硅(SiBN)。
8.如权利要求1所述的方法,其中所述电介质封闭层的所述预定厚度在约与约之间。
9.一种方法,所述方法包括:
通过热化学气相沉积工艺在存储器材料之上沉积第一材料,所述热化学气相沉积工艺包括:
使含硅第一前驱物流动,所述含硅第一前驱物包括甲硅烷和乙硅烷中的一种或多种;
在低于约300℃的温度下在所述存储器材料之上使包括乙硼烷的含硼第一前驱物流动;和
使所述含硅第一前驱物与所述含硼第一前驱物反应以沉积所述第一材料;使所述第一材料暴露于氮等离子体,所述氮等离子体包括选自由氮气和氨气组成的群组的一种或多种含氮气体;和
重复所述沉积所述第一材料和所述使所述第一材料暴露于所述氮等离子体,以在所述存储器材料之上形成保形的掺杂氮的硼化硅电介质封闭层。
10.如权利要求9所述的方法,其中所述温度在约200℃与约250℃之间。
11.如权利要求9所述的方法,其中重复所述沉积所述第一材料和所述使所述第一材料暴露于所述氮等离子体约10次到约15次。
12.如权利要求9所述的方法,其中所述含硅第一前驱物的流速在约100sccm与约700sccm之间,且其中所述含硼第一前驱物的流速通常在约20sccm与约400sccm之间。
13.如权利要求9所述的方法,其中用于所述使所述第一材料暴露于氮等离子体的等离子体功率在约100W与约500W之间。
14.一种存储器器件,所述存储器器件包括:
基板;
存储器材料的一个或多个高纵横比特征,所述存储器材料的一个或多个高纵横比特征设置在所述基板的部分之上;和
电介质封闭层,所述电介质封闭层包括SiBN,所述电介质封闭层设置在所述存储器材料和所述基板的被暴露的部分之上。
15.如权利要求14所述的存储器器件,其中所述电介质封闭层的厚度在约与约之间。
CN201780079463.3A 2016-12-22 2017-11-16 用于保形密封电介质封闭而无对底层结构材料的直接RF暴露的SiBN膜 Active CN110168698B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662437986P 2016-12-22 2016-12-22
US62/437,986 2016-12-22
PCT/US2017/061976 WO2018118288A1 (en) 2016-12-22 2017-11-16 Sibn film for conformal hermetic dielectric encapsulation without direct rf exposure to underlying structure material

Publications (2)

Publication Number Publication Date
CN110168698A true CN110168698A (zh) 2019-08-23
CN110168698B CN110168698B (zh) 2024-03-22

Family

ID=62627181

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780079463.3A Active CN110168698B (zh) 2016-12-22 2017-11-16 用于保形密封电介质封闭而无对底层结构材料的直接RF暴露的SiBN膜

Country Status (5)

Country Link
US (1) US11011371B2 (zh)
JP (1) JP7191023B2 (zh)
KR (1) KR102551237B1 (zh)
CN (1) CN110168698B (zh)
WO (1) WO2018118288A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021091835A1 (en) 2019-11-08 2021-05-14 Applied Materials, Inc. Methods to reduce material surface roughness
US11515145B2 (en) 2020-09-11 2022-11-29 Applied Materials, Inc. Deposition of silicon boron nitride films
US11676813B2 (en) * 2020-09-18 2023-06-13 Applied Materials, Inc. Doping semiconductor films
US20220406594A1 (en) * 2021-06-18 2022-12-22 Applied Materials, Inc. Processes for depositing sib films

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US6002202A (en) * 1996-07-19 1999-12-14 The Regents Of The University Of California Rigid thin windows for vacuum applications
CN1420543A (zh) * 2001-11-21 2003-05-28 哈娄利公司 双monos单元制造方法及数组结构
US20060084247A1 (en) * 2004-10-20 2006-04-20 Kaiping Liu Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US20060207504A1 (en) * 2005-03-11 2006-09-21 Kazuhide Hasebe Film formation method and apparatus for semiconductor process
US20070222020A1 (en) * 2006-03-22 2007-09-27 International Business Machines Corporation Dram (dynamic random access memory) cells
US20080292798A1 (en) * 2007-05-23 2008-11-27 Jeong-Uk Huh Boron nitride and boron nitride-derived materials deposition method
US20090093112A1 (en) * 2007-10-09 2009-04-09 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of rc delay
US20090197404A1 (en) * 2007-12-18 2009-08-06 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
CN101743631A (zh) * 2007-07-13 2010-06-16 应用材料股份有限公司 硼衍生的材料的沉积方法
JP2010251654A (ja) * 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
JP2011023576A (ja) * 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US20130049219A1 (en) * 2011-08-31 2013-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method for Forming the Same
US20140186544A1 (en) * 2013-01-02 2014-07-03 Applied Materials, Inc. Metal processing using high density plasma
US20150099374A1 (en) * 2013-10-07 2015-04-09 Tokyo Electron Limited Method and Apparatus of Forming Silicon Nitride Film
US20160020091A1 (en) * 2014-07-19 2016-01-21 Applied Materials, Inc. Carbon and/or Nitrogen Incorporation in Silicon Based Films Using Silicon Precursors With Organic Co-Reactants by PE-ALD
US20160148806A1 (en) * 2014-11-24 2016-05-26 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5712193A (en) * 1994-12-30 1998-01-27 Lucent Technologies, Inc. Method of treating metal nitride films to reduce silicon migration therein
DE60143541D1 (de) * 2000-09-19 2011-01-05 Mattson Tech Inc Verfahren zur ausbildung dielektrischer filme
KR100449028B1 (ko) * 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
JP2008166594A (ja) 2006-12-28 2008-07-17 Toshiba Corp 不揮発性半導体記憶装置およびその製造方法
US8426085B2 (en) 2010-12-02 2013-04-23 Intermolecular, Inc. Method and apparatus for EUV mask having diffusion barrier
US9234276B2 (en) * 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10023958B2 (en) 2013-11-22 2018-07-17 Applied Materials, Inc. Atomic layer deposition of films comprising silicon, carbon and nitrogen using halogenated silicon precursors
US9355837B2 (en) * 2014-09-25 2016-05-31 Micron Technology, Inc. Methods of forming and using materials containing silicon and nitrogen
CN107112200B (zh) * 2014-11-17 2021-03-26 Sage电致变色显示有限公司 多阻挡层封装叠层
US10763103B2 (en) 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US20170323785A1 (en) * 2016-05-06 2017-11-09 Lam Research Corporation Method to deposit conformal and low wet etch rate encapsulation layer using pecvd

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6002202A (en) * 1996-07-19 1999-12-14 The Regents Of The University Of California Rigid thin windows for vacuum applications
US5994209A (en) * 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
CN1420543A (zh) * 2001-11-21 2003-05-28 哈娄利公司 双monos单元制造方法及数组结构
US20060084247A1 (en) * 2004-10-20 2006-04-20 Kaiping Liu Transistors, integrated circuits, systems, and processes of manufacture with improved work function modulation
US20060207504A1 (en) * 2005-03-11 2006-09-21 Kazuhide Hasebe Film formation method and apparatus for semiconductor process
US20070222020A1 (en) * 2006-03-22 2007-09-27 International Business Machines Corporation Dram (dynamic random access memory) cells
US20080292798A1 (en) * 2007-05-23 2008-11-27 Jeong-Uk Huh Boron nitride and boron nitride-derived materials deposition method
CN101743631A (zh) * 2007-07-13 2010-06-16 应用材料股份有限公司 硼衍生的材料的沉积方法
US20090093112A1 (en) * 2007-10-09 2009-04-09 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of rc delay
US20090197404A1 (en) * 2007-12-18 2009-08-06 Byung Chun Yang High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability
JP2010251654A (ja) * 2009-04-20 2010-11-04 Elpida Memory Inc 成膜方法および半導体装置の製造方法
JP2011023576A (ja) * 2009-07-16 2011-02-03 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US20130049219A1 (en) * 2011-08-31 2013-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method for Forming the Same
US20140186544A1 (en) * 2013-01-02 2014-07-03 Applied Materials, Inc. Metal processing using high density plasma
US20150099374A1 (en) * 2013-10-07 2015-04-09 Tokyo Electron Limited Method and Apparatus of Forming Silicon Nitride Film
US20160020091A1 (en) * 2014-07-19 2016-01-21 Applied Materials, Inc. Carbon and/or Nitrogen Incorporation in Silicon Based Films Using Silicon Precursors With Organic Co-Reactants by PE-ALD
US20160148806A1 (en) * 2014-11-24 2016-05-26 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film

Also Published As

Publication number Publication date
KR102551237B1 (ko) 2023-07-03
US11011371B2 (en) 2021-05-18
JP7191023B2 (ja) 2022-12-16
CN110168698B (zh) 2024-03-22
US20190326110A1 (en) 2019-10-24
KR20190090026A (ko) 2019-07-31
WO2018118288A1 (en) 2018-06-28
JP2020502809A (ja) 2020-01-23

Similar Documents

Publication Publication Date Title
CN110168698A (zh) 用于保形密封电介质封闭而无对底层结构材料的直接RF暴露的SiBN膜
US10818489B2 (en) Atomic layer deposition of silicon carbon nitride based material
US9349587B2 (en) Method of manufacturing semiconductor device and method of processing substrate and substrate processing apparatus
TWI780160B (zh) 使用依序沉積-蝕刻-處理製程的氧化矽及氮化矽之由下而上的生長
CN100459065C (zh) 氮化硅薄膜及其制造方法
US9984868B2 (en) PEALD of films comprising silicon nitride
CN111593319A (zh) 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
US20070251444A1 (en) PEALD Deposition of a Silicon-Based Material
CN100590805C (zh) 原子层沉积方法以及形成的半导体器件
US8716149B2 (en) Methods for fabricating integrated circuits having improved spacers
KR20020048623A (ko) 플라즈마 원자층 증착법에 의한 탄탈륨옥사이드 유전막형성 방법
US20130230975A1 (en) Method of forming a germanium thin film
US11821079B2 (en) Methods for depositing molybdenum sulfide
US20190103485A1 (en) Device and method of dielectric layer
US10964536B2 (en) Formation of an atomic layer of germanium in an opening of a substrate material having a high aspect ratio
Yuan et al. A brief overview of atomic layer deposition and etching in the semiconductor processing
US20160351454A1 (en) Silicon-germanium fin formation
TWI819233B (zh) 非共形膜的選擇性蝕刻臨界尺寸控制
CN107564800B (zh) 一种氮化硅层的制备方法
US11587784B2 (en) Smooth titanium nitride layers and methods of forming the same
US20200126784A1 (en) Low dielectric constant oxide and low resistance op stack for 3d nand application
US8980742B2 (en) Method of manufacturing multi-level metal thin film and apparatus for manufacturing the same
TWI837224B (zh) 減少字元線撓曲的方法
CN115084144A (zh) 半导体结构的形成方法、半导体结构及存储器
US20230340661A1 (en) Gapfill Process Using Pulsed High-Frequency Radio-Frequency (HFRF) Plasma

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant