CN110073476A - 具备保护膜的薄膜晶体管基板及其制造方法 - Google Patents

具备保护膜的薄膜晶体管基板及其制造方法 Download PDF

Info

Publication number
CN110073476A
CN110073476A CN201780073263.7A CN201780073263A CN110073476A CN 110073476 A CN110073476 A CN 110073476A CN 201780073263 A CN201780073263 A CN 201780073263A CN 110073476 A CN110073476 A CN 110073476A
Authority
CN
China
Prior art keywords
polysiloxanes
film transistor
thin film
fluorine
carbon atom
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780073263.7A
Other languages
English (en)
Other versions
CN110073476B (zh
Inventor
浦冈行治
石河泰明
吉田尚史
谷口克人
野中敏章
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Merck Patent GmbH
Original Assignee
Merck Patent GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Merck Patent GmbH filed Critical Merck Patent GmbH
Publication of CN110073476A publication Critical patent/CN110073476A/zh
Application granted granted Critical
Publication of CN110073476B publication Critical patent/CN110073476B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/06Preparatory processes
    • C08G77/08Preparatory processes characterised by the catalysts used
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/14Polysiloxanes containing silicon bound to oxygen-containing groups
    • C08G77/18Polysiloxanes containing silicon bound to oxygen-containing groups to alkoxy or aryloxy groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • C08L83/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • C08L83/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/08Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen, and oxygen
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D7/00Features of coating compositions, not provided for in group C09D5/00; Processes for incorporating ingredients in coating compositions
    • C09D7/40Additives
    • C09D7/60Additives non-macromolecular
    • C09D7/63Additives non-macromolecular organic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/008Azides
    • G03F7/012Macromolecular azides; Macromolecular additives, e.g. binders
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/022Quinonediazides
    • G03F7/0226Quinonediazides characterised by the non-macromolecular additives
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/022Quinonediazides
    • G03F7/023Macromolecular quinonediazides; Macromolecular additives, e.g. binders
    • G03F7/0233Macromolecular quinonediazides; Macromolecular additives, e.g. binders characterised by the polymeric binders or the macromolecular additives other than the macromolecular quinonediazides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0755Non-macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/04Polysiloxanes
    • C08G77/22Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen
    • C08G77/24Polysiloxanes containing silicon bound to organic groups containing atoms other than carbon, hydrogen and oxygen halogen-containing groups
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
    • G03F7/0007Filters, e.g. additive colour filters; Components for display devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Thin Film Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Silicon Polymers (AREA)
  • Materials For Photolithography (AREA)

Abstract

本发明提供一种薄膜晶体管基板,其为具备保护膜的薄膜晶体管基板,可赋予高的驱动稳定性。一种薄膜晶体管基板,其特征在于,所述薄膜晶体管基板包含薄膜晶体管、以及将薄膜晶体管包覆的由硅氧烷组合物的固化物形成的保护膜,薄膜晶体管具有包含氧化物半导体的半导体层,硅氧烷组合物含有聚硅氧烷、含氟化合物、以及溶剂。

Description

具备保护膜的薄膜晶体管基板及其制造方法
技术领域
本发明涉及具备保护膜的薄膜晶体管基板及其制造方法。
背景技术
近年来,对于高分辨率显示器,正在活跃地开发着使用以非晶InGaZnO为代表的氧化物半导体的薄膜晶体管。与在液晶显示器中使用的非晶硅薄膜晶体管相比较而言,氧化物半导体显现电子迁移率大、ON/OFF比大等优异的电特性,因而期待成为有机EL显示器的驱动元件、省电元件。在对于显示器的开发中,特别是,保持作为晶体管而言的元件作动稳定性与在大面积基板上的均匀性成为重要的课题。关于在元件作动稳定性上极其重要的要素,存在有保护氧化物半导体层不受外部气氛的损害的绝缘膜。然而,作为这样的绝缘膜,主要使用着在以往的使用非晶硅的薄膜晶体管中应用的保护用绝缘膜(专利文献1和2),存在有没有充分发挥氧化物半导体本质上具有的物性的担忧。而且,可认为这成为使用氧化物半导体的薄膜晶体管的性能受限制的主要原因之一。
氧化物半导体中的保护膜必须抑制水分、氢、氧等的侵入。这些杂质的侵入显著地改变氧化物半导体的导电性,引起阈值的变动等而阻碍作动稳定性。从这样的观点考虑,关于以往的保护用绝缘膜,主要是以单层或者多层适用利用了化学气相沉积法(CVD)、溅射等物理气相沉积法(PVD)而得到的SiOx、SiNx、SiONx等。关于用于成膜出这些高阻隔(barrier)性的无机膜的CVD等制造工艺,存在有对使用了氧化物半导体的薄膜晶体管的基底层即、氧化物半导体造成损坏的担忧。具体而言,作为利用真空蒸镀装置形成的以往的保护膜,存在有SiO2膜、SiN膜,但由于这些膜是通过利用等离子体等将原料气体分解而成膜,因而在该制作工艺中,利用等离子体而产生的离子种有时会对氧化物半导体表面造成损坏,有时会使得膜特性发生劣化。另外存在有如下的担忧:在制造氧化物半导体元件之时,因各种各样的化学溶液、干法蚀刻等工艺而使得氧化物半导体进一步劣化。因此,作为不受工序的伤害的保护,一并适用着蚀刻阻挡层(etch stopper)等保护膜(专利文献3)。另外,在使用了这样的以气体为原料的成膜方法的情况下,在制成大屏幕的显示器之时,不易成膜出均匀的保护膜。因此,为了解决这样的问题点,因而提出了利用涂布法而成膜出保护膜,例如,还提出了使用了硅氧烷树脂的涂布型保护膜(专利文献1),但是驱动稳定性留有更进一步改良的余地。
现有技术文献
专利文献
专利文献1:日本特开2015-146332号公报
发明内容
发明想要解决的课题
本发明人等对解决上述那样的课题的方法进行研究,获得了如下的见解:使用包含含氟化合物的特定的硅氧烷组合物而形成保护膜,在加热固化后进行适当的追加加热以及退火时,则可赋予薄膜晶体管基板以更高的驱动稳定性。本发明基于相关的见解而完成。
因此,本发明的目的在于提供一种薄膜晶体管基板,其为具备包含氟的保护膜的薄膜晶体管基板,可赋予高的驱动稳定性。
另外,本发明的目的在于提供一种制造方法,其为具备包含氟的保护膜的薄膜晶体管基板的制造方法,可更简便地赋予更高的驱动稳定性。
另外,本发明的另一目的在于提供在该制造方法中使用的硅氧烷组合物。
用于解决课题的方案
本发明的薄膜晶体管基板的特征在于,
其为包含薄膜晶体管、以及包覆前述薄膜晶体管的由硅氧烷组合物的固化物形成的保护膜的薄膜晶体管基板,
前述薄膜晶体管具有包含氧化物半导体的半导体层,
前述硅氧烷组合物含有聚硅氧烷、含氟化合物、以及溶剂,
前述聚硅氧烷是包含由以下的通式(Ia)表示的重复单元的聚硅氧烷
式中,
R1表示:氢;1~3价的碳原子数1~30的直链状、分支状或者环状的饱和或者不饱和的脂肪族烃基;或者1~3价的碳原子数6~30的芳香族烃基,
在前述脂肪族烃基以及前述芳香族烃基中,1个以上的亚甲基是非置换的、或者被氧基、酰亚胺基或者羰基置换,1个以上的氢是非置换的、或者被氟、羟基或者烷氧基置换,且1个以上的碳是非置换的、或者被硅置换,
在R1为2价或3价的情况下,R1将多个重复单元中所含的Si彼此进行连结。
另外,本发明的薄膜晶体管基板的制造方法是前述薄膜晶体管基板的制造方法,其特征在于,包含以下的工序:
准备硅氧烷组合物的工序,
将前述硅氧烷组合物涂布于薄膜晶体管而形成保护膜前体层的工序,
将前述保护膜前体层进行加热固化而形成保护膜的工序,
将所形成的保护膜进一步进行追加加热的工序,以及
将具备前述保护膜的薄膜晶体管进行退火处理的工序;
所述硅氧烷组合物含有聚硅氧烷、含氟化合物、以及溶剂,前述聚硅氧烷包含(I)由以下的通式(Ia)表示的重复单元:
式中,
R1表示:氢;1~3价的碳原子数1~30的直链状、分支状或者环状的饱和或者不饱和的脂肪族烃基;或者1~3价的碳原子数6~30的芳香族烃基,
在前述脂肪族烃基以及前述芳香族烃基中,1个以上的亚甲基是非置换的、或者被氧基、酰亚胺基或者羰基置换,1个以上的氢是非置换的、或者被氟、羟基或者烷氧基置换,且1个以上的碳是非置换的、或者被硅置换,
在R1为2价或3价的情况下,R1将多个重复单元中所含的Si彼此进行连结。
此外,本发明的薄层晶体管基板制造用硅氧烷组合物的特征在于,
含有聚硅氧烷、含氟化合物、以及溶剂,
前述聚硅氧烷包含(I)由以下的通式(Ia)表示的重复单元:
式中,R1表示:氢;1~3价的碳原子数1~30的直链状、分支状或者环状的饱和或者不饱和的脂肪族烃基、或者1~3价的碳原子数6~30的芳香族烃基,
在前述脂肪族烃基以及前述芳香族烃基中,1个以上的亚甲基是非置换的、或者被氧基、酰亚胺基或者羰基置换,1个以上的氢是非置换的、或者被氟、羟基或者烷氧基置换,且1个以上的碳是非置换的、或者被硅置换,
在R1为2价或3价的情况下,R1将多个重复单元中所含的Si彼此进行连结。
发明的效果
本发明可提供一种薄膜晶体管基板,其对于电压应力、光应力、光/电压应力而显现高的稳定性。另外,根据本发明的方法,可简便地制造具有在以往的涂布型保护膜方面不易实现的相对于应力的高稳定性的薄膜晶体管,进一步由于在制造工序中不需真空装置等,因而也可大幅地提高生产率。此外,根据本发明的组合物,可形成稳定性高于以往的涂布型保护膜的保护膜。
附图说明
图1是表示本发明的具备保护膜的薄膜晶体管基板的一个形态(实施例1)的示意图。
图2是表示本发明的具备保护膜的薄膜晶体管基板的其它的一个形态的示意图。
图3是表示本发明的具备保护膜的薄膜晶体管基板的其它的一个形态的示意图。
具体实施方式
对于本发明的实施方式,一边参照附有的附图一边如以下那样详细说明。
首先,图1示出了利用本发明的制造方法形成出的具备保护膜的薄膜晶体管基板1的一个形态。在图1中,在栅极层2之上形成栅极绝缘层3,在其上形成了金属氧化物半导体层4。进一步在金属氧化物半导体层4的两端,按照与栅极绝缘层3相接的方式分别形成源极5与漏极6。
另外,虽然未图示,但是也可在金属氧化物半导体层4之上形成了蚀刻阻挡层。保护膜7按照将这些金属氧化物半导体层4、源极5、以及漏极6覆盖的方式形成。关于其它的实施方式,例如,也可同样地适用具有经由保护膜7上的接触孔9而形成了与氧化物半导体层4接触的源极5以及漏极6这样的结构的薄膜晶体管基板(图2)、或者顶栅(top gate)结构的薄膜晶体管基板。又,此处所示的结构仅仅是例示,根据本发明的制造方法,也可制造具有此处所示的结构以外的结构的薄膜晶体管基板。
图3示出了在保护膜上7形成了像素电极8的薄膜晶体管基板的一个形态。经由保护膜中形成的接触孔9而使得像素电极8与漏极6进行着接触。
[薄膜晶体管基板]
本发明的薄膜晶体管基板包含薄膜晶体管、以及包覆该薄膜晶体管的由硅氧烷组合物的固化物形成的保护膜。本发明的薄膜晶体管基板也可具备着多个保护膜,也可在包覆薄膜晶体管的保护膜之上具有第二保护膜。在本说明书中,薄膜晶体管是指,构成例如在表面具备有电极、电路、半导体层以及绝缘层等的基板等薄膜晶体管基板的全体元件。另外,关于基板上配置的布线,列举栅极布线、数据布线、用于连接2种以上的布线层的过孔(via)布线等。关于氧化物半导体层,通常是包含铟氧化物、锌氧化物、镓氧化物的氧化物半导体,但只要显现半导体特性,则也可以是其它的氧化物。关于本发明的薄膜晶体管基板,特别是,从可利用氧化物半导体与退火工艺而获得高的保护特性的观点考虑,是优选的。以往,为了能够进行高温退火,因而适用了利用PE-CVD法形成出的氧化硅膜、氮化硅等的无机膜作为保护膜,但是为了在这些无机膜中形成接触孔,必需进行反应性离子蚀刻等。然而,反应性离子蚀刻显著地促进氧化物半导体的劣化,因而为了在加工后恢复半导体的性能,需要提高后述的退火温度。在本发明中,保护膜可抑制半导体的劣化,可赋予薄膜晶体管以高的驱动稳定性。
本发明的薄膜晶体管基板中的保护膜由含有聚硅氧烷、含氟化合物、以及溶剂的硅氧烷组合物形成。对于构成此组合物的成分,在以下进行详细说明。
<聚硅氧烷>
聚硅氧烷是指以Si-O-Si键(硅氧烷键)为主链的聚合物。另外,在本说明书中,在聚硅氧烷方面,也包括由通式(RSiO1.5)n表示的倍半硅氧烷(silsesquioxane)聚合物。
本发明的聚硅氧烷具有由以下的通式(Ia)表示的重复单元。
式中,R1表示:氢;1~3价的碳原子数1~30的直链状、分支状或者环状的饱和或者不饱和的脂肪族烃基;或者1~3价的碳原子数6~30的芳香族烃基,
在前述脂肪族烃基以及前述芳香族烃基中,1个以上的亚甲基是非置换的、或者被氧基、酰亚胺基或者羰基置换,1个以上的氢是非置换的、或者被氟、羟基或者烷氧基置换,且1个以上的碳是非置换的、或者被硅置换,
在R1为2价或3价的情况下,R1将多个重复单元中所含的Si彼此进行连结。
在通式(Ia)中,在R1为一价基的情况下,关于R1,列举例如(i)甲基、乙基、丙基、丁基、戊基、己基、庚基、辛基、以及癸基等烷基,(ii)苯基、甲苯基、以及苄基等芳基,(iii)三氟甲基、2,2,2-三氟乙基、3,3,3-三氟丙基等氟烷基,(iv)氟芳基,(v)环己基等环烷基,(vi)异氰酸酯基、以及氨基等具有氨基或者酰亚胺结构的含氮基团。优选为甲基、乙基、丙基、丁基、戊基、己基、苯基、甲苯基、缩水甘油基、异氰酸酯基。氟烷基优选为全氟烷基,特别是优选为三氟甲基、五氟乙基。关于R1为甲基的化合物,由于容易获取原料,固化后的膜硬度高,具有高的化学品耐受性,因而优选。另外,关于苯基,由于提高该聚硅氧烷对溶剂的溶解度,使得固化膜变得不易皲裂,因而优选。R1具有羟基、缩水甘油基、异氰酸酯基、或者氨基时,则与基板的密接性提高,因而优选。
另外,在R1为二价基或三价基的情况下,R1优选为例如(i)从甲烷、乙烷、丙烷、丁烷、戊烷、己烷、庚烷、辛烷、以及癸烷等烷中去除2个或3个氢而得到的基团,(ii)从环庚烷、环己烷、以及环辛烷等环烷中去除2个或3个氢而得到的基团,(iii)从苯、萘、以及仅由苯等烃构成的芳香族化合物中去除2个或3个氢而得到的基团、以及(iv)从哌啶、吡咯烷、以及异氰脲酸酯等包含氨基、亚氨基及/或羰基的含氮及/或氧的环状脂肪族烃化合物中去除2个或3个氢而得到的基团。由于改善图案塌陷,另外提高与基板的密接性,因而更优选为(iv)。
R1优选为:1个以上的亚甲基也可被氧置换的碳原子数1~20的直链状、分支状或者环状的烷基或者氟烷基、或者碳原子数6~20的芳基或者氟芳基。此处,本说明书所说的氟烷基是指烷基中的1个以上的氢原子被置换为氟原子而得到的基团,氟芳基是指芳基中的1个以上的氢原子被置换为氟原子而得到的基团。
关于R1,列举例如甲基、乙基、丙基、丁基、戊基、己基、庚基、辛基、苯基、苄基以及它们经过氟取代而得到的氟烷基或者氟芳基。优选为甲基、乙基、丙基、丁基、戊基、己基,更优选为丙基、丁基、戊基、己基。氟烷基优选为全氟烷基,特别优选为三氟甲基、五氟乙基。
另外,本发明的聚硅氧烷也可根据需要而具有由以下的通式(Ib)表示的重复单元。
关于这样的聚硅氧烷,可根据需要在酸性催化剂或者碱性催化剂的存在下,将由下述式(ia)表示的硅烷化合物进行水解以及缩合而获得。
R1’[Si(ORa)3]p (ia)
式中,
p为1~3,
R1’表示:氢;1~3价的碳原子数1~30的直链状、分支状或者环状的饱和或者不饱和的脂肪族烃基;或者1~3价的碳原子数6~30的芳香族烃基,
在前述脂肪族烃基以及前述芳香族烃基中,1个以上的亚甲基是非置换的、或者被氧基、酰亚胺基或者羰基置换,1个以上的氢是非置换的、或者被氟、羟基或者烷氧基置换,且1个以上的碳是非置换的、或者被硅置换,
Ra表示碳原子数1~10的烷基。
通式(ia)中,优选的R1’与上述中记载的优选的R1是同样的。
通式(ia)中,关于Ra,列举例如甲基、乙基、正丙基、异丙基、以及正丁基等。通式(ia)中,包含多个Ra,但各个Ra可以相同也可以不同。
关于由通式(ia)表示的硅化合物的具体例子,列举例如甲基三甲氧基硅烷、甲基三乙氧基硅烷、甲基三异丙氧基硅烷、甲基三正丁氧基硅烷、乙基三甲氧基硅烷、乙基三乙氧基硅烷、乙基三异丙氧基硅烷、乙基三正丁氧基硅烷、正丙基三甲氧基硅烷、正丙基三乙氧基硅烷、正丁基三甲氧基硅烷、正丁基三乙氧基硅烷、正己基三甲氧基硅烷、正己基三乙氧基硅烷、癸基三甲氧基硅烷、苯基三甲氧基硅烷、苯基三乙氧基硅烷、三氟甲基三甲氧基硅烷、三氟甲基三乙氧基硅烷、3,3,3-三氟丙基三甲氧基硅烷、三(3-三甲氧基甲硅烷基丙基)异氰脲酸酯、三(3-三甲氧基甲硅烷基丙基)异氰脲酸酯、三(3-三乙氧基甲硅烷基丙基)异氰脲酸酯、三(3-三甲氧基甲硅烷基乙基)异氰脲酸酯等,其中优选为甲基三甲氧基硅烷、甲基三乙氧基硅烷、甲基三丙氧基硅烷、苯基三甲氧基硅烷。
上述通式(ia)优选为以下的式(ia)’。
R2Si(OR3)3 (ia)’
式中,R2表示1个以上的亚甲基也可被氧置换的碳原子数1~20的直链状、分支状或者环状的烷基或者氟烷基、或者碳原子数6~20的芳基或者氟芳基,R3表示碳原子数1~5的烷基。
作为硅烷化合物,使用了式(ia)的硅烷化合物的情况下,可获得仅包含重复单元(Ia)的聚硅氧烷。又,也可通过将由下述式(ib)表示的硅烷化合物组合于由上述式(ia)表示的硅烷化合物中而获得聚硅氧烷。这样地使用式(ib)时,则可获得包含重复单元(Ia)以及(Ib)的聚硅氧烷。
Si(ORa)4 (ib)
式中,Ra为碳原子数1~10的烷基,优选为碳原子数1~5的烷基。
此处,硅烷化合物(ia)以及(ib)分别可组合2种以上而使用。
聚硅氧烷的质均分子量通常为800以上且15,000以下,从向有机溶剂中的溶解性、在碱显影液中的溶解性的观点考虑优选为1,000以上且10,000以下,更优选为1,000以上且4,000以下,进一步优选为1000以上且3000以下。此处质均分子量是指聚苯乙烯换算质均分子量,可以以聚苯乙烯为基准而利用凝胶渗透色谱法进行测定。
关于本发明的组合物,可通过将后述的感光剂进行组合,从而制成感光性硅氧烷组合物。在此情况下,优选将碱溶解速度不同的至少2种聚硅氧烷进行组合而使用。关于这样的碱溶解速度不同的聚硅氧烷,优选使用下述的聚硅氧烷(I)以及(II)。聚硅氧烷(I)是,通过在碱性催化剂的存在下将由通式(ia)表示的硅烷化合物、以及根据需要的由通式(ib)表示的硅烷化合物进行水解以及缩合而获得的聚硅氧烷。聚硅氧烷(I)的预烘烤后的膜可溶于5质量%TMAH溶液,其溶解速度为/秒以下,优选为/秒。溶解性为/秒以上的情况下,在显影后残存不溶物的可能性变得极其低,在防止断线等方面是优选的。
聚硅氧烷(II)是,通过在酸性或者碱性催化剂的存在下将通式(ia)的硅烷化合物、以及根据需要的由通式(ib)表示的硅烷化合物进行水解以及缩合而获得的聚硅氧烷。聚硅氧烷(II)的预烘烤后的膜可溶于2.38质量%TMAH水溶液,其溶解速度为/秒以上,优选为/秒。关于聚硅氧烷(II)的溶解速度,可根据作为目标的保护膜的厚度,在/秒至/秒的范围选定。进一步优选为/秒至/秒。通过设为/秒以下,可使得与聚硅氧烷(I)的溶解速度差不过大,可进行均匀的显影。
关于聚硅氧烷(I),使得显影后的图案在加热固化时不易引起“图案”塌陷,但是碱溶解性极其小,因而无法单独使用。另外,即使为了单独使用聚硅氧烷(I)或者聚硅氧烷(II)而调整碱溶解性,也无法获得在本发明中为了形成保护膜而使用的硅氧烷组合物所显现的那样的图案的稳定性,因而优选将聚硅氧烷(I)以及(II)进行组合而使用。又,在溶解速度差大的情况下,优选使用溶解速度不同的多种聚硅氧烷(II)。
关于上述聚硅氧烷(I)以及聚硅氧烷(II)中的前述通式(ib)的硅烷化合物的含量,可根据用途而适当设定,但是各自的聚硅氧烷中,优选为3摩尔%~40摩尔%,在控制膜的硬度、图案的热稳定方面更优选为5摩尔%至30摩尔%。通过使此含量为3摩尔%以上,使得高温下的图案稳定性变为更良好,通过设为40摩尔%以下从而抑制反应活性,使得储藏时的稳定性变得更良好。
关于本发明中使用的聚硅氧烷,通过使用了通式(ia)或者(ib)作为原料,从而具有分支结构。此处,可根据需要而组合二官能硅烷化合物作为聚硅氧烷的原料,从而将聚硅氧烷部分地制成直链结构。但是,在要求高温耐受性的用途中,直链结构部分优选为少。具体而言,关于聚硅氧烷中的源自二官能度硅烷的直链结构,优选为全聚硅氧烷的结构中的30摩尔%以下。
<碱溶解速度(ADR)的测定、计算方法>
关于聚硅氧烷(I)以及(II)对TMAH水溶液的溶解速度,如以下那样进行测定、计算。
首先,将聚硅氧烷按照成为35质量%左右的方式溶解于丙二醇单甲醚乙酸酯(PGMEA)。将该溶液按照干燥膜厚成为约2μm的厚度的方式旋转涂布于硅晶圆上,其后通过在100℃的热板上加热60秒从而进一步去除溶剂。利用分光椭圆偏振光谱仪(Woollam公司),进行涂布膜的膜厚测定。接着,对于具有该膜的硅晶圆,在聚硅氧烷(I)方面,在室温(25℃)浸渍于5%TMAH水溶液,在聚硅氧烷(II)方面,在室温(25℃)浸渍于2.38%TMAH水溶液,测定覆膜消失为止的时间。关于溶解速度,将初期膜厚除以覆膜消失为止的时间而求出。在溶解速度显著迟缓的情况下,在浸渍了一定时间之后进行膜厚测定,将浸渍前后的膜厚变化量除以浸渍时间,计算溶解速度。
在聚硅氧烷(I)、(II)中的任一个聚合物方面,聚苯乙烯换算的质均分子量都一般为800~15,000,优选为1,000~10,000,更优选为1,000~4,000,进一步优选为1,000~3,000。只要分子量是上述的范围内,则可防止显影残渣的产生而获得充分的分辨率,灵敏度也变良好,因而优选将分子量调整为上述范围内。
关于聚硅氧烷(I)、(II)的混合比例,可根据层间绝缘膜的膜厚、组合物的灵敏度、分辨率等以任意的比例进行调整,但是通过包含10质量%以上的聚硅氧烷(I),从而具有在加热固化中防止“图案”塌陷的效果,因而优选。此处“图案”塌陷是指,在将图案进行了加热之时图案发生变形的现象,例如,截面是矩形、棱线是明确的图案在加热后,棱线部分变为圆形,或者接近为垂直的矩形形状的侧面发生倾斜的现象。
<含氟化合物>
本发明中使用的硅氧烷组合物包含含氟化合物。此处可认为,关于氟化合物,通过在将硅氧烷组合物进行加热而进行了固化之后,进一步进行追加加热,从而释出氟原子。而且推定出,该氟原子主要是在追加加热的过程中扩散于保护膜中以及半导体层的表面或者层中,其结果,显著地改善半导体元件的特性。
这样的含氟化合物之中,优选者是含氟表面活性剂。关于含氟表面活性剂,已知有各种含氟表面活性剂,任一个都具有氟化了的烃基、以及亲水性基团。关于这样的含氟表面活性剂,列举Megafac(商品名:DIC株式会社制造)、Fluorad(商品名:Sumitomo 3M Limited制造)、Surflon(商品名:旭硝子株式会社制造)等。
另外,作为含氟化合物而言优选的化合物中的另一个是由下述式(M)表示的化合物:
R4Si(OR5)3 (M)
式中,R4是碳原子数1~8的完全地或者部分地被氟取代了的烃基,R5表示碳原子数1~5的烷基。关于此化合物,与前述的硅烷化合物(ia)中包含的硅烷化合物之中的具有氟烷基的硅烷化合物是重复的。具体列举九氟己基三甲氧基硅烷、全氟己基三甲氧基硅烷、全氟辛基三甲氧基硅烷、十三氟-1,1,2,2-四氢辛基三甲氧基硅烷等。又,由通式(M)表示的含氟化合物的分子量优选为1000以下。
另外,后述的感光剂、固化助剂在结构中包含氟原子的情况下,可将其视为含氟化合物。关于这样的感光剂或者固化助剂,有时会使用锍盐、鏻盐等,但是作为它们的对离子,存在有具有氟化了的烃基等的对离子。这样的感光剂或者固化助剂也作为含氟化合物而发挥功能。
以硅氧烷组合物的总质量为基准,这些含氟化合物的添加量为0.05~10质量%,优选为0.1~1质量%。
又,在本发明中,聚硅氧烷可包含氟,但是这样的含氟聚硅氧烷不包含于含氟化合物。可认为这是因为,在固化之时利用加热处理以及其后的追加加热处理,不易从含氟聚硅氧烷释出氟。
在硅氧烷组合物中,源自含氟化合物的氟原子的数量相对于源自聚硅氧烷的硅原子的数量之比优选为0.002~0.4。此比为0.002以上时则充分发挥本发明的效果。另一方面,为了维持半导体的性能,优选为0.4以下。
<感光剂>
在本发明中,也可将感光剂组合于硅氧烷组合物,制成感光性硅氧烷组合物。通过使用这样的硅氧烷组合物,可利用曝光显影进行保护膜的加工,可不利用干法蚀刻等进行图案加工,因而具有对薄膜晶体管性能的损害比较小、退火时间即使短也无妨这样的优点。对于这样的感光性硅氧烷组合物,在以下进行详细说明。
关于感光性硅氧烷组合物,根据感光剂的种类而分类为正型感光性硅氧烷组合物和负型感光性硅氧烷组合物。关于为了形成本发明的薄膜晶体管基板中的保护膜而使用的优选的正型感光性硅氧烷组合物,含有聚硅氧烷、作为感光剂而言的重氮基萘醌衍生物、溶剂、以及根据需要的固化助剂。这样的正型感光性硅氧烷组合物形成正型感光层,其中,通过使曝光部变得可溶于碱显影液从而利用显影而去除。另一方面,关于为了形成本发明的薄膜晶体管基板中的保护膜而使用的优选的负型感光性硅氧烷组合物,其特征在于,包含聚硅氧烷、可利用光而产生酸或者碱的固化助剂、以及溶剂。这样的负型感光性硅氧烷组合物形成负型感光层,其中,通过使曝光部变得不溶于碱显影液,从而在显影后残留。以下,对各自的感光剂进行说明。又,这些感光剂是包含氟的化合物的情况下,它们可作为上述的含氟化合物而发挥功能。
<重氮基萘醌衍生物>
本发明中的组合物为正型感光性组合物的情况下,可使用重氮基萘醌衍生物作为感光剂。此时重氮基萘醌衍生物是通过使萘醌二叠氮基磺酸以酯键结合于具有酚羟基的化合物而得到的化合物,在结构上不受特别限制,优选为与具有1个以上的酚羟基的化合物的酯化合物。关于萘醌二叠氮基磺酸,可使用4-萘醌二叠氮基磺酸、或者5-萘醌二叠氮基磺酸。4-萘醌二叠氮基磺酸酯化合物在i线(波长365nm)区域具有吸收,因此适于i线曝光。另外,5-萘醌二叠氮基磺酸酯化合物在广范围的波长范围存在吸收,因而适于广范围的波长下的曝光。优选根据曝光的波长而选择4-萘醌二叠氮基磺酸酯化合物、5-萘醌二叠氮基磺酸酯化合物。也可将4-萘醌二叠氮基磺酸酯化合物与5-萘醌二叠氮基磺酸酯化合物进行混合而使用。
具有酚羟基的化合物没有特别限定,但例如列举以下的化合物(商品名,本州化学工业株式会社制造)。
关于重氮基萘醌衍生物的添加量,根据萘醌二叠氮基磺酸的酯化率、或者使用的聚硅氧烷的物性、所要求的灵敏度、曝光部与未曝光部的溶解对比度而使得最优量不同,但作为本发明的层间绝缘膜,相对于聚硅氧烷100质量份而言优选为3~20质量份,进一步优选为5~15质量份。重氮基萘醌衍生物的添加量为3质量份以上时,则曝光部与未曝光部的溶解对比度变高,具有良好的感光性。另外,为了获得更良好的溶解对比度因而优选为5质量份以上。另一方面,重氮基萘醌衍生物的添加量为20质量份以下时,则固化膜的无色透明性提高。
<固化助剂>
在本发明的组合物为负型感光性组合物的情况下,另外在组合物为正型感光性组合物的情况下,可根据需要而包含固化助剂。所使用的固化助剂是利用光而产生酸或者碱的化合物。它们根据在固化膜制造工艺中利用的聚合反应、交联反应而进行选择。此处,关于光,可列举可见光、紫外线,或者红外线等。特别优选为,利用在薄膜晶体管的制造中使用的紫外线而产生酸或者碱的固化助剂。
关于固化助剂的添加量,根据固化助剂分解而产生的活性物质的种类、产生量、所要求的灵敏度、曝光部与未曝光部的溶解对比度而使得最优量不同,但是相对于聚硅氧烷100质量份,优选为0.001~10质量份,进一步优选为0.01~5质量份。添加量为0.001质量份以上时,则曝光部与未曝光部的溶解对比度变高,添加效果变良好。另一方面,只要固化助剂的添加量为10质量份以下,则抑制在所形成的覆膜生成裂纹,也抑制由固化助剂的分解导致的着色,因而覆膜的无色透明性提高。
关于光酸产生剂的例子,列举重氮甲烷化合物、三嗪化合物、磺酸酯、二苯基碘鎓盐、三苯基锍盐、锍盐、铵盐、鏻盐、磺酰亚胺类化合物等。这些光酸产生剂之中的优选者的结构可由通式(A)表示。
R+X- (A)
此处,R+表示选自由被氢、碳原子或者其它杂原子修饰了的烷基、芳基、烯基、酰基、以及烷氧基组成的组中的有机离子,例如二苯基碘鎓离子、三苯基锍离子。
另外,X-优选为由下述通式表示的任一个抗衡离子。
SbY6 -
AsY6 -
Ra pPY6-p -
Ra qBY4-q -
Ra qGaY4-q -
RaSO3 -
(RaSO2)3C-
(RaSO2)2N-
RbCOO-
SCN-
式中,Y是卤素原子,Ra是被选自氟、硝基、以及氰基中的取代基取代了的碳原子数1~20的烷基或者碳原子数6~20的芳基,Rb是氢或者碳原子数1~8的烷基,p是0~6的数值,q是0~4的数值。
关于具体的抗衡离子,列举选自由BF4 -、(C6F5)4B-、((CF3)2C6H3)4B-、PF6 -、(CF3CF2)3PF3 -、SbF6 -、(C6F5)4Ga-、((CF3)2C6H3)4Ga-、SCN-、(CF3SO2)3C-、(CF3SO2)2N-、甲酸根离子、乙酸根离子、三氟甲磺酸根离子、九氟丁烷磺酸根离子、甲磺酸根离子、丁烷磺酸根离子、苯磺酸根离子、对甲苯磺酸根离子、以及磺酸根离子组成的组中的抗衡离子。
在本发明中使用的光酸产生剂之中,特别是,产生磺酸类或者硼酸类的光酸产生剂是良好的,列举例如甲苯基枯基碘鎓四(五氟苯基)硼酸(Rhodia公司制造的PHOTOINITIATOR2074(商品名))、二苯基碘鎓四(全氟苯基)硼酸、阳离子部由锍离子构成并且阴离子部由五氟硼酸根离子构成的光酸产生剂等。
此外,列举三苯基锍三氟甲磺酸、三苯基锍樟脑磺酸、三苯基锍四(全氟苯基)硼酸、4-乙酰氧基苯基二甲基锍六氟砷酸、1-(4-正丁氧基萘-1-基)四氢噻吩鎓三氟甲磺酸、1-(4,7-二丁氧基-1-萘基)四氢噻吩鎓三氟甲磺酸、二苯基碘鎓三氟甲磺酸、二苯基碘鎓六氟砷酸等。进一步,也可使用由下述式表示的光酸产生剂。
式中,A分别独立地选自碳原子数1~20的烷基、碳原子数1~20的烷氧基、碳原子数6~20的芳基、碳原子数1~20的烷基羰基、碳原子数6~20的芳基羰基、羟基、以及氨基中的取代基,p分别独立地是0~5的整数,关于B-,列举氟化了的烷基磺酸酯基、氟化了的芳基磺酸酯基、氟化了的烷基硼酸酯基、烷基磺酸酯基、芳基磺酸酯基等。也可使用:通过将这些式子所示的阳离子以及阴离子进行相互交换而得到的化合物、通过将这些式子所示的阳离子或者阴离子与前述的各种阳离子或者阴离子进行组合而得到的光酸产生剂。例如,也可使用通过将由式子表示了的锍离子中的任一个与四(全氟苯基)硼酸根离子进行组合而得到的化合物、通过将由式子表示了的碘鎓离子中的任一个与四(全氟苯基)硼酸根离子进行组合而得到的化合物作为光酸产生剂。
包含上述的光酸产生剂在内,关于可具体性地使用的光酸产生剂,可列举4-甲氧基苯基二苯基锍六氟膦酸盐、4-甲氧基苯基二苯基锍六氟砷酸盐、4-甲氧基苯基二苯基锍甲磺酸盐、4-甲氧基苯基二苯基锍三氟乙酸盐、三苯基锍四氟硼酸盐、三苯基锍四(五氟苯基)硼酸盐、三苯基锍六氟膦酸盐、三苯基锍六氟砷酸盐、4-甲氧基苯基二苯基锍-对甲苯磺酸盐、4-苯基硫代苯基二苯基锍四氟硼酸盐、4-苯基硫代苯基二苯基锍六氟膦酸盐、三苯基锍甲磺酸盐、三苯基锍三氟乙酸盐、三苯基锍-对甲苯磺酸盐、4-甲氧基苯基二苯基锍四氟硼酸盐、4-苯基硫代苯基二苯基锍六氟砷酸盐、4-苯基硫代苯基二苯基锍-对甲苯磺酸盐、N-(三氟甲基磺酰基氧基)琥珀酰亚胺、N-(三氟甲基磺酰基氧基)邻苯二甲酰亚胺、5-降冰片烯-2,3-二甲酰亚胺基三氟甲磺酸酯、5-降冰片烯-2,3-二甲酰亚胺基-对甲苯磺酸酯、4-苯基硫代苯基二苯基锍三氟甲磺酸盐、4-苯基硫代苯基二苯基锍三氟乙酸盐、N-(三氟甲基磺酰基氧基)二苯基马来酰亚胺、N-(三氟甲基磺酰基氧基)双环[2.2.1]庚-5-烯-2,3-二甲酰亚胺、N-(三氟甲基磺酰基氧基)萘基酰亚胺、N-(九氟丁基磺酰基氧基)萘基酰亚胺等。
关于光碱产生剂的例子,列举具有酰胺基的多取代酰胺类化合物、内酰胺、酰亚胺类化合物或者包含该结构的化合物。
它们之中,由以下的通式(A)表示的光碱产生剂的水合物或者溶剂化物可改良组合物的经时稳定性,因而优选。
此处,x1是1以上且6以下的整数,
R11~R16各自独立地为氢原子、卤素原子、羟基、巯基、硫醚基(sulfide group)、甲硅烷基、硅烷醇基、硝基、亚硝基、亚磺基(sulfino group)、磺基(sulfo group)、磺酸根(sulfonato group)、膦基(phosphino group)、氧膦基(phosphinyl group)、膦酰基(phosphono group)、膦酸根(phosphonato group)、氨基、铵基、也可包含取代基的碳原子数1~20的脂肪族烃基、也可包含取代基的碳原子数6~22的芳香族烃基、也可包含取代基的碳原子数1~20的烷氧基、或者也可包含取代基的碳原子数6~20的芳氧基。
它们之中,R11~R14特别优选为氢原子、羟基、碳原子数1~6的脂肪族烃基、或者碳原子数1~6的烷氧基,R15以及R16特别优选为氢原子。
也可将R11~R14之中的2个以上进行结合而形成了环状结构。此时,该环状结构也可包含杂原子。
N是含氮杂环的构成原子,该含氮杂环具有1个以上的羟基烷基。此羟基烷基可结合于环中的任一个位置,但优选结合于对位或者邻位。该含氮杂环也可进一步具有1个以上的与前述羟基烷基不同的也可包含取代基的碳原子数为1~20、特别为1~6的脂肪族烃基。在含氮杂环方面,在取代基中具有羟基时则溶解性升高并且沸点提高,因而优选。
R11~R14优选根据使用的曝光波长进行适当选择。在对于显示器的用途上,使用例如使得吸收波长在g、h、i线上进行位移的乙烯基、炔基等不饱和烃结合官能团、烷氧基、硝基等,特别优选为甲氧基、乙氧基。
作为前述的由式(A)表示的光碱产生剂的具体例子,例如列举以下的光碱产生剂。
另外,作为固化助剂,可使用热酸产生剂或者热碱产生剂。关于热酸产生剂的例子,可列举各种脂肪族磺酸与其盐、柠檬酸、乙酸、马来酸等各种脂肪族羧酸与其盐、苯甲酸、苯二甲酸等各种芳香族羧酸与其盐、芳香族磺酸与其铵盐、各种胺盐、芳香族重氮鎓盐以及膦酸与其盐等、产生有机酸的盐、酯等。在热酸产生剂之中也特别是,优选为由有机酸与有机碱形成的盐,更加优选为由磺酸与有机碱形成的盐。
关于优选的磺酸,列举对甲苯磺酸、苯磺酸、对十二烷基苯磺酸、1,4-萘二磺酸、甲磺酸等。这些酸产生剂可单独使用或混合使用。
关于热碱产生剂的例子,可列举产生咪唑、叔胺、季铵等碱的化合物、它们的混合物。作为释出的碱的例子,列举N-(2-硝基苄基氧基羰基)咪唑、N-(3-硝基苄基氧基羰基)咪唑、N-(4-硝基苄基氧基羰基)咪唑、N-(5-甲基-2-硝基苄基氧基羰基)咪唑、N-(4-氯-2-硝基苄基氧基羰基)咪唑等咪唑衍生物、1,8-二氮杂二环[5.4.0]十一碳-7-烯。关于这些碱产生剂,与酸产生剂同样,可单独使用或混合使用。
关于这些热酸产生剂以及热碱产生剂,在组合物是感光性时可使用,在是非感光性时也可使用,但在是非感光性的情况下,由于获得充分固化了的膜,因而优选使用。
又,关于这些感光剂或者固化助剂之中的在结构中包含氟原子的化合物,也作为前述的氟化合物而发挥功能。
<溶剂>
关于溶剂,列举例如乙二醇单甲醚、乙二醇单乙醚、乙二醇单丙醚、乙二醇单丁醚等乙二醇单烷基醚类,二乙二醇二甲醚、二乙二醇二乙醚、二乙二醇二丙醚、二乙二醇二丁基醚等二乙二醇二烷基醚类,甲基溶纤剂乙酸酯、乙基溶纤剂乙酸酯等乙二醇烷基醚乙酸酯类,丙二醇单甲醚、丙二醇单乙醚等丙二醇单烷基醚类,PGMEA、丙二醇单乙醚乙酸酯、丙二醇单丙醚乙酸酯等丙二醇烷基醚乙酸酯类,苯、甲苯、二甲苯等芳香族烃类,甲乙酮、丙酮、甲基戊基酮、甲基异丁基酮、环己酮等酮类等。这些溶剂分别单独地使用,或者组合2种以上而使用。关于溶剂的配混比,根据涂布方法、涂布后的膜厚的要求而不同。例如,在喷雾涂布的情况下,以聚硅氧烷与可选成分的总质量为基准,成为90质量%以上,但是在显示器的制造中使用的大型玻璃基板的狭缝式涂布中,通常设为50质量%以上,优选设为60质量%以上,通常设为90质量%以下,优选设为85质量%以下。
<其它的可选成分>
另外,本发明的感光性硅氧烷组合物也可根据需要而包含其它的可选成分。关于这样的可选成分,列举表面活性剂等。又,关于表面活性剂之中的包含氟的表面活性剂,有时也作为前述的含氟化合物而发挥功能。
表面活性剂可改善涂布性,因而优选使用。关于在本发明中的硅氧烷组合物中可以使用的表面活性剂,例如列举非离子系表面活性剂、阴离子系表面活性剂、两性表面活性剂等。
作为上述非离子系表面活性剂,列举例如聚氧化乙烯月桂基醚、聚氧化乙烯油烯基醚、聚氧化乙烯鲸蜡基醚等聚氧化乙烯烷基醚类、聚氧化乙烯脂肪酸二酯、聚氧化乙烯脂肪酸单酯、聚氧化乙烯聚氧化丙烯嵌段聚合物、炔属醇(acetylen alcohol)、炔二醇(acetylenic glycol)、炔属醇的多乙氧基化物(polyethoxylate)、炔二醇的多乙氧基化物等炔二醇衍生物、含氟表面活性剂、例如Fluorad(商品名,Sumitomo 3M Limited制造)、Megafac(商品名,DIC株式会社制造)、Surflon(商品名,旭硝子株式会社制造)、或有机硅氧烷表面活性剂、例如KP341(商品名,信越化学工业株式会社制造)等。作为前述炔属醇与炔二醇,列举3-甲基-1-丁炔-3-醇、3-甲基-1-戊炔-3-醇、3,6-二甲基-4-辛炔-3,6-二醇、2,4,7,9-四甲基-5-癸炔-4,7-二醇、3,5-二甲基-1-己炔-3-醇、2,5-二甲基-3-己炔-2,5-二醇、2,5-二甲基-2,5-己炔二醇等。
另外,作为阴离子系表面活性剂,列举烷基二苯醚二磺酸的铵盐或有机胺盐、烷基二苯醚磺酸的铵盐或有机胺盐、烷基苯磺酸的铵盐或有机胺盐、聚氧化乙烯烷基醚硫酸酯的铵盐或有机胺盐、硫酸烷酯的铵盐或有机胺盐等。
进一步,关于两性表面活性剂,列举2-烷基-N-羧甲基-N-羟乙基咪唑鎓甜菜碱、月桂酰胺丙基羟基磺基甜菜碱等。
这些表面活性剂可单独使用或混合2种以上而使用,关于其配混比,相对于硅氧烷组合物的总质量而言,通常为50~10,000ppm,优选为100~5,000ppm。
[薄膜晶体管的制造方法]
通过将硅氧烷组合物涂布于薄膜晶体管,进行加热从而形成保护膜(固化膜),进一步进行了追加加热,然后通过将具有保护膜的薄膜晶体管进行退火处理,从而获得目标的薄膜晶体管基板。此时,在将感光剂组合于硅氧烷组合物的情况下,也可通过经由所期望的掩模而进行曝光、显影,从而形成接触孔等的图案。
作为具有氧化物半导体层的薄膜晶体管基板的制造方法,列举图1中所示的底栅(bottom gate)型的TFT作为例子而进行说明。在基于玻璃等而成的基板上图案状形成栅极2。关于栅极材料,钼、铝以及铝合金、铜以及铜合金、钛等材料以单层或者2种以上的层叠膜的形式构成。在栅极上形成栅极绝缘膜3。关于栅极绝缘膜,通常利用PE-CVD法而形成氧化硅膜、氮化硅膜、硅氮化氧化膜等。栅极绝缘膜的厚度通常为100至300nm。关于栅极绝缘膜上的氧化物半导体层4,存在有如下的方法:利用DC溅射或者RF溅射将组成与氧化物半导体相同的溅射靶进行成膜的溅射法、,通过涂布金属醇盐、金属有机酸盐、氯化物等的前体溶液、氧化物半导体纳米颗粒的分散液而进行煅烧从而形成氧化物半导体层的液相法。在进行了氧化物半导体层4的图案形成之后,图案状形成源极、漏极5与6。关于源极、漏极材料,钼、铝以及铝合金、铜以及铜合金、钛等材料以单层或者2种以上的层叠膜的形式构成。
本发明中使用的硅氧烷组合物为感光性组合物的情况下,关于薄膜晶体管基板的制造方法,在具有上述氧化物半导体层的薄膜晶体管上涂布感光性硅氧烷组合物并且利用预烘烤等进行干燥,然后进行曝光,利用四甲基氢氧化铵水溶液(通常使用2.38%水溶液)进行显影而形成了接触孔等的图案,然后将所涂布的感光性硅氧烷组合物(保护膜前体层)进行固化而形成保护膜7,接着,进行追加加热处理,进一步进行氧化物半导体的退火处理。进一步,通过在保护膜上例如利用溅射法形成ITO膜,进行图案化,从而形成图3的元件。另外,也可在此保护膜上,利用CVD、PVD形成无机膜,或者也可出于保护膜、平整化的目的,利用涂布法而具有有机材料。退火处理也可在形成了ITO膜等之后进行。
在以下说明本发明的制造方法的各工序。
(1)准备硅氧烷组合物的工序
在本发明的薄膜晶体管基板的制造方法中,准备含有聚硅氧烷、含氟化合物、以及溶剂的硅氧烷组合物。硅氧烷组合物的各构成成分的详细情况如上述。
(2)形成保护膜前体层的工序
关于保护膜前体层,通过涂布上述的硅氧烷组合物从而形成。关于本发明中的涂布工序,通过将上述的硅氧烷组合物涂布于薄膜晶体管表面而进行。关于该涂布工序,可利用一般的涂布方法、即、浸渍涂布、辊涂、刮棒涂布、刷涂、喷雾涂布、刮刀涂布、流涂、旋涂、狭缝式涂布等、以往作为组合物的涂布方法而言公知的任意方法,从而进行。根据需要而反复涂布一次或二次以上,从而可将保护膜前体层制成所期望的膜厚。
为了减少保护膜前体层的溶剂残存量,因而优选将该层进行预烘烤(预备加热处理)。关于预烘烤工序,通常在70~150℃的温度,优选在90~130℃的温度,在基于热板而进行的情况下可实施10~180秒,优选为30~90秒,在基于清洁烘箱而进行的情况下可实施1~5分钟。在预烘烤之前,优选包含基于旋转、真空而去除溶剂的工序。
(3)将保护膜前体层进行加热固化而形成保护膜的工序
保护膜前体层的固化温度没有特别限定,只要是进行硅氧烷的脱水缩合的温度则可任意选择。煅烧温度过低时,则有时会不充分进行反应,有时会在保护膜中残存硅烷醇基并且影响到晶体管性能的稳定性。由此,煅烧温度优选为200℃以上,更优选为250℃以上。
另外,温度过度高时,则有时会使得制造成本上升,聚合物发生分解等等,考虑到这些情况,优选为500℃以下。更优选为400℃以下。另外,固化时间没有特别限定,但通常为10分钟以上,优选为20分钟以上。固化在非活性气体或者大气中等含氧气氛下进行。
利用这样的加热固化处理,将保护膜前体层中所含的聚硅氧烷进行固化而形成保护膜。
(4)将所形成了的保护膜进一步进行追加加热的工序
将聚硅氧烷进行固化而形成了保护膜,然后进行追加加热工序。关于追加加热,只要是在加热固化之后、退火处理之前则无论何时都良好。在退火处理的阶段引起硅氧烷的化学变化(聚合物化)时,则生成水并且对晶体管性能带来影响,因而优选以退火处理温度以上的温度进行。另外,关于追加加热,可通过在与上述的固化膜形成工序中的加热温度相同的温度、或者高于其的温度进行加热,从而进行。特别是在使用有机材料的情况下,为了不发生分解,优选暂时在追加加热温度以下的温度进行加热固化。但是关于追加加热温度的上限,与固化工序同样地,从制造成本、防止聚合物分解的观点考虑,优选为500℃以下,更优选为400℃以下。进一步,追加加热时间一般为20分钟以上,优选为40分钟以上。关于追加加热处理的气氛,与加热固化同样地在非活性气体气氛、或者含氧气氛下进行。但是,也可在与加热固化工序不同的气氛下进行追加加热。
(5)将形成了保护膜的薄膜晶体管进行退火处理的工序
最后,进行薄膜晶体管的退火处理。在具备有氧化物半导体的元件方面,关于保护膜形成,包含基于PVD、CVD的膜形成、基于干法蚀刻、湿法蚀刻的图案加工、抗蚀层的剥离工序等,但是此时有时会发生薄膜晶体管性能的劣化,因而优选利用退火处理而恢复性能。在本发明中,通过在例如250℃以上进行退火处理,即使在图案化之时薄膜晶体管的性能暂时降低了的情况下,也可恢复其性能。特别是,在本发明中具有如下特征:即使在薄膜晶体管的特性显著降低了的情况下,也通过在氧存在下进行退火而引起大幅的性能恢复。另外,取决于氧化物半导体的劣化的程度,可通过提高退火温度,或者使退火时间变长,从而提高薄膜晶体管的性能恢复与元件的可靠性。退火温度为250℃以上且450℃以下,优选为300℃以上且400℃以下。退火时间为30分钟以上,优选为60分钟以上。退火优选在氧的存在下进行。但是,在氧存在下的退火方面,考虑到由电极的氧化、本发明的保护膜的氧化导致的着色等的影响,优选在400℃以下进行。
又,可以以一连串的处理的形式进行上述的追加加热处理与退火处理。具体而言,可对于追加加热工序至退火工序,在相同条件下接续地进行加热。在这样的情况下,相对于通常进行的退火处理而言,成为长时间的加热。
又,可连续进行形成保护膜的工序、进行追加加热的工序、进行退火处理的工序,但是也可在这些工序之间实施别的工序。例如如前所述,也可在追加加热与退火处理之间,在保护膜上形成别的层。
可通过以上的方法,制造薄膜晶体管基板。
又,也可将感光剂加入于硅氧烷组合物而形成具有图案的保护膜。为了形成这样的保护膜,可追加以下的工序。以下的工序优选在预烘烤之后进行。
<曝光工序>
形成了保护膜前体层,然后对其表面进行光照射。关于在光照射中使用的光源,可使用以往在图案形成方法中使用的任意的光源。作为这样的光源,可列举高压水银灯、低压水银灯、金属卤化物、氙等的灯、激光二极管、LED等。关于照射光,通常使用g线、h线、i线等紫外线。除了半导体那样的超微细加工以外,在数μm至数十μm的图案化方面一般使用360~430nm的光(高压水银灯)。其中,在液晶显示装置的情况下大多使用430nm的光。关于照射光的能量,也与光源、保护膜前体层的膜厚有关,但通常在重氮基萘醌衍生物的正型的情况下,设为20~2000mJ/cm2,优选设为50~1000mJ/cm2。照射光能量低于20mJ/cm2时则有时会无法获得充分的分辨率,相反地高于2000mJ/cm2时,则存在有曝光变得过多,招致光晕产生的情况。另外,在负型的情况下,设为1~500mJ/cm2,优选设为10~100mJ/cm2。照射光能量低于1mJ/cm2时则膜变薄程度大,相反地高于500mJ/cm2时,则曝光有时会变得过多,有时会变得无法获得分辨率。
为了将光进行图案状照射,可使用一般的光掩模。这样的光掩模可从周知的光掩模中任意选择。照射之时的环境没有特别限定,但通常设为周围气氛(大气中)、氮气气氛即可。另外,在基板表面整面形成膜的情况下,对基板表面整面进行光照射即可。在本发明中,关于图案膜,也包括在这样的基板表面整面形成了膜的情况。
<曝光后加热工序>
曝光后,为了利用在曝光部位产生出的反应引发剂而促进膜内的聚合物间反应,因而可根据需要进行曝光后加热(Post Exposure Baking)。关于此加热处理,不是为了将保护膜前体层完全地固化因而进行的,而是为了可使得在显影后仅仅所期望的图案残留于基板上,并且可使得其外的部分通过显影而去除,因而进行的。
<显影工序>
曝光后,根据需要进行了曝光后加热,然后对保护膜前体层进行显影处理。关于显影之时使用的显影液,可使用以往所知的在感光性硅氧烷组合物的显影中使用了的任意的显影液。关于优选的显影液,列举氢氧化四烷基铵、胆碱、碱金属氢氧化物、碱金属偏硅酸盐(水合物)、碱金属磷酸盐(水合物)、氨、烷基胺、烷醇胺、杂环式胺等碱性化合物的水溶液、即、碱显影液,特别优选的碱显影液是TMAH水溶液。在这些碱显影液中,也可根据需要进一步包含甲醇、乙醇等水溶性有机溶剂、或者表面活性剂。显影方法也可从以往所知的方法中任意选择。具体而言,列举向显影液中的渍沉、水坑(puddle,旋覆浸没)、喷淋、狭缝(slit)、帽盖涂布(cap coat)、喷雾等方法。利用此显影,可获得图案。优选在利用显影液进行了显影之后,进行水洗。又,在本发明的制造方法中,如图3所示,也可经由通过显影而形成出的接触孔9,将漏极6与形成在保护膜7之上的透明电极(像素电极8)导通。
<显影后照射工序>
使用正型的组合物,使用所形成的保护膜作为透明膜的情况下,优选进行被称作脱色曝光(bleaching exposure)的光照射。通过进行脱色曝光,使得膜中残存的未反应的重氮基萘醌衍生物发生光解,从而进一步提高膜的光透明性。关于脱色曝光的方法,使用高压水银灯、低压水银灯等,根据膜厚,以100~2,000mJ/cm2左右(波长365nm曝光量换算)对整面进行曝光。另外,在负型的情况下,通过利用光照射,将显影后残膜中的固化助剂进行活性化,从而可更容易地进行后面的加热固化。根据膜厚以100~2,000mJ/cm2左右(波长365nm曝光量换算)对整面进行曝光。
实施例
通过诸例来具体性地如以下那样说明本发明。
合成例(聚硅氧烷(P1)的合成)
向具备有搅拌器、温度计、冷凝管的2L的烧瓶中,加入25质量%四甲基氢氧化铵(TMAH)水溶液24.5g、异丙醇(IPA)300ml、水2.0g,接着在滴液漏斗中制备出苯基三甲氧基硅烷39.7g、甲基三甲氧基硅烷40.8g的混合溶液。将该混合溶液在60℃进行滴加,在相同温度下搅拌了3小时,然后加入10%HCl水溶液进行了中和。通过向中和液中添加甲苯200ml、水300ml,分离为2层,将所获得的有机层在减压下进行浓缩从而去除溶剂,向浓缩物中按照固形物浓度成为40质量%的方式添加丙二醇单甲醚乙酸酯(PGMEA),从而制备。所获得的聚硅氧烷(P1)的分子量(聚苯乙烯换算)为质均分子量(Mw)=2,000。将所获得的树脂溶液按照预烘烤后的膜厚成为2μm的方式涂布于硅晶圆,测定了对5%TMAH水溶液的溶解速度,结果为/秒。
合成例(聚硅氧烷(P2~P4)的合成)
将在聚硅氧烷合成中使用的硅烷化合物进行了变更,除此以外,与P1的合成例同样地操作,获得了聚硅氧烷P2~P4。聚硅氧烷P2~P4的详细情况如以下的表1所示。
表1
表中,P3是通过将P3-1与P3-2以90:10(质量比)进行混合而得到的混合物。
硅氧烷组合物的制备
相对于聚硅氧烷P1~P4,将各种添加剂进行组合,制备出实施例1~5以及比较例1~3的硅氧烷组合物。各组合物的组成如表2所示。又,表中,重氮基萘醌化合物以及固化助剂的添加量是相对于聚硅氧烷100质量份的量,其它的添加剂是以组合物全体的质量为基准的量。
薄膜晶体管基板的制成
在n型掺杂的硅晶圆上,设置了100nm的氧化硅膜作为栅极绝缘膜。在栅极绝缘膜上通过RF溅射法而成膜了非晶InGaZnO(70nm)。形成非晶InGaZnO膜的图案之后,图案状形成出源极、漏极。作为源极、漏极材料,利用了钼。其后,在300℃以1小时进行了该薄膜晶体管的退火。接着,利用旋涂法而涂布所制备出的硅氧烷组合物。在100℃以90秒进行了预烘烤。
接着,在氧气气氛下,在300℃进行固化60分钟而形成了保护膜。进一步,将追加加热处理与退火处理合并,在氧气气氛下在300℃进行120分钟,获得了具备保护膜的薄膜晶体管基板。保护膜厚为1000nm。
对于所获得的基板,测定出其特性值。所获得的结果如表2所示。
表2
表中
DNQ:4-4’-(1-(4-(1-(4-羟基苯酚)-1-甲基乙基)苯基)乙亚基)双苯酚的重氮基萘醌2.0摩尔改性体,添加量是以聚硅氧烷100质量份为基准。
TBG:1,8-二氮杂二环[5.4.0]十一碳-7-烯-邻苯二甲酸盐,添加量是以聚硅氧烷100质量份为基准。
DTS-200:商品名,Midori Kagaku Co.,Ltd.制造,锍盐型光酸产生剂、抗衡离子B(C6F5)4 -,添加量是以聚硅氧烷100质量份为基准。
TPS-1000:商品名,Midori Kagaku Co.,Ltd.制造,锍盐型光酸产生剂、抗衡离子CH3C6H4SO3 -,添加量是以聚硅氧烷100质量份为基准。
AKS10:商品名,信越化学工业株式会社制造,不含氟的表面活性剂
R-2011:商品名,DIC株式会社制造,氟系表面活性剂
F-558:商品名,DIC株式会社制造,氟系表面活性剂
NFH:九氟己基三甲氧基硅烷
使用半导体参数分析器、Agilent4156C进行下述设备特性的测定。
载流子迁移率的测定方法
以漏极电压为0.1V,TFT的尺寸为沟道(channel)宽度90μm、沟道长度10μm,测定栅极电压-20V至20V中的漏极电流的变化,进行了载流子迁移率的计算(单位:cm2/V·sec)。
偏压应力(bias stress)的测定方法
以栅极电压-20V、漏极电压0V、施加时间10000秒进行了应力施加,然后进行了设备特性的测定。其后,将漏极电压变更为5V,除此以外与上述载流子迁移率同样地进行测定,评价了从断开(OFF)朝向连通(ON)的阈值栅极电压(立ち上がりゲート電圧)Vth从初期状态起以何种程度发生了变化。将上述阈值栅极电压Vth设为到达了漏极电流1nA时的值。
隆起(hump)现象的评价
关于在从断开(OFF)朝向连通(ON)的阈值附近出现的隆起的尺寸在进行了上述应力施加之后从初期状态起以何种程度发生变化,按照以下的基准进行了评价。其越小则可判断为切换特性越良好。
A:无
B:小
C:大
D:无法测定
标号说明
1具备保护膜的薄膜晶体管基板,2栅极层,3栅极绝缘层,4金属氧化物半导体层,5源极,6漏极,7保护膜,8像素电极,9接触孔。

Claims (18)

1.一种薄膜晶体管基板,其特征在于,
所述薄膜晶体管基板包含:薄膜晶体管、以及包覆所述薄膜晶体管的由硅氧烷组合物的固化物形成的保护膜,
所述薄膜晶体管具有包含氧化物半导体的半导体层,
所述硅氧烷组合物含有:聚硅氧烷、含氟化合物、以及溶剂,
所述聚硅氧烷是包含由以下的通式(Ia)表示的重复单元的聚硅氧烷:
式中,
R1表示:氢;1~3价的碳原子数1~30的直链状、分支状或者环状的饱和或者不饱和的脂肪族烃基;或者1~3价的碳原子数6~30的芳香族烃基,
在所述脂肪族烃基以及所述芳香族烃基中,1个以上的亚甲基是非置换的、或者被氧基、酰亚胺基或者羰基置换,1个以上的氢是非置换的、或者被氟、羟基或者烷氧基置换,且1个以上的碳是非置换的、或者被硅置换,
在R1为2价或3价的情况下,R1将多个重复单元中所含的Si彼此进行连结。
2.根据权利要求1所述的基板,其中,所述聚硅氧烷进一步包含由以下的通式(Ib)表示的重复单元:
3.根据权利要求1或2所述的基板,其中,所述含氟化合物为含氟表面活性剂。
4.根据权利要求1~3中任一项所述的基板,其中,
所述含氟化合物是下述式(M):
R4Si(OR5)3 (M)
式中,R4是碳原子数1~8的完全地或者部分地被氟取代的烃基,R5表示碳原子数1~5的烷基。
5.根据权利要求1~4中任一项所述的基板,其中,所述含氟化合物为感光剂。
6.根据权利要求1~5中任一项所述的基板,其中,所述感光剂进一步包含不包含氟原子的感光剂。
7.根据权利要求1~6中任一项所述的基板,其中,在所述硅氧烷组合物中,源自所述含氟化合物的氟原子的数量相对于源自所述聚硅氧烷的硅原子的数量之比为0.002~0.4。
8.根据权利要求1~7中任一项所述的基板,其中,所述聚硅氧烷包含聚硅氧烷(I)与聚硅氧烷(II),
所述聚硅氧烷(I)是通过在碱性催化剂的存在下将由下述式(ia)表示的硅烷化合物、以及由下述式(ib)表示的硅烷化合物进行水解以及缩合而获得的聚硅氧烷,预烘烤后的膜可溶于5质量%四甲基氢氧化铵水溶液,其溶解速度为/秒以下,
R1’[Si(ORa)3]p (ia)
Si(ORa)4 (ib)
式中,R1’表示:氢;1~3价的碳原子数1~30的直链状、分支状或者环状的饱和或者不饱和的脂肪族烃基;或者1~3价的碳原子数6~30的芳香族烃基,
在所述脂肪族烃基以及所述芳香族烃基中,1个以上的亚甲基是非置换的、或者被氧基、酰亚胺基或者羰基置换,1个以上的氢是非置换的、或者被氟、羟基或者烷氧基置换,且1个以上的碳是非置换的、或者被硅置换,Ra表示碳原子数1~10的烷基;
所述聚硅氧烷(II)是通过在酸性或者碱性催化剂的存在下至少将上述通式(ia)的硅烷化合物进行水解以及缩合而获得的聚硅氧烷,预烘烤后的膜可溶于2.38质量%四甲基氢氧化铵水溶液,其溶解速度为/秒以上。
9.根据权利要求1~8中任一项所述的基板,其中,
所述硅氧烷组合物是含有碱溶解速度不同的至少2种聚硅氧烷、重氮基萘醌衍生物、含氟化合物以及溶剂的正型感光性硅氧烷组合物。
10.根据权利要求1~9中任一项所述的基板,其中,在所述保护膜上具有第二保护膜。
11.一种制造方法,其为权利要求1~10中任一项所述的薄膜晶体管基板的制造方法,
其包含以下的工序:
准备硅氧烷组合物的工序,
将所述硅氧烷组合物涂布于薄膜晶体管而形成保护膜前体层的工序,
将所述保护膜前体层进行加热固化而形成保护膜的工序,
将所形成的保护膜进一步进行追加加热的工序,以及
将具备所述保护膜的薄膜晶体管进行退火处理的工序;
所述硅氧烷组合物含有聚硅氧烷、含氟化合物、以及溶剂,所述聚硅氧烷包含(I)由以下的通式(Ia)表示的重复单元:
式中,R1表示:氢;1~3价的碳原子数1~30的直链状、分支状或者环状的饱和或者不饱和的脂肪族烃基;或者1~3价的碳原子数6~30的芳香族烃基,
在所述脂肪族烃基以及所述芳香族烃基中,1个以上的亚甲基是非置换的、或者被氧基、酰亚胺基或者羰基置换,1个以上的氢是非置换的、或者被氟、羟基或者烷氧基置换,且1个以上的碳是非置换的、或者被硅置换,
在R1为2价或3价的情况下,R1将多个重复单元中所含的Si彼此进行连结。
12.根据权利要求11所述的方法,其中,所述追加加热在与所述加热固化的温度相同或者高于其的温度进行。
13.根据权利要求11或12所述的方法,其中,所述追加加热在与所述退火处理的温度相同或者高于其的温度进行。
14.根据权利要求11~13中任一项所述的方法,其中,所述退火处理在250℃以上且450℃以下进行。
15.根据权利要求11~14中任一项所述的方法,其中,所述退火处理在氧气气氛下进行。
16.一种薄层晶体管基板制造用硅氧烷组合物,其特征在于,
其含有聚硅氧烷、含氟化合物、以及溶剂,
所述聚硅氧烷包含(I)由以下的通式(Ia)表示的重复单元:
式中,R1表示:氢;1~3价的碳原子数1~30的直链状、分支状或者环状的、饱和或者不饱和的脂肪族烃基;或者1~3价的碳原子数6~30的芳香族烃基,
在所述脂肪族烃基以及所述芳香族烃基中,1个以上的亚甲基是非置换的、或者被氧基、酰亚胺基或者羰基置换,1个以上的氢是非置换的、或者被氟、羟基或者烷氧基置换,且1个以上的碳是非置换的、或者被硅置换,
在R1为2价或3价的情况下,R1将多个重复单元中所含的Si彼此进行连结。
17.根据权利要求16所述的组合物,其中,
所述含氟化合物是下述式(M):
R4Si(OR5)3 (M)
式中,R4是碳原子数1~8的完全地或者部分地被氟取代的烃基,R5表示碳原子数1~5的烷基。
18.根据权利要求16或17所述的组合物,其中,源自所述含氟化合物的氟原子的数量相对于源自所述聚硅氧烷的硅原子的数量之比为0.002~0.4。
CN201780073263.7A 2016-11-28 2017-11-27 具备保护膜的薄膜晶体管基板及其制造方法 Active CN110073476B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2016-230560 2016-11-28
JP2016230560 2016-11-28
PCT/JP2017/042381 WO2018097284A1 (ja) 2016-11-28 2017-11-27 保護膜を具備する薄膜トランジスタ基板およびその製造方法

Publications (2)

Publication Number Publication Date
CN110073476A true CN110073476A (zh) 2019-07-30
CN110073476B CN110073476B (zh) 2023-09-01

Family

ID=62195824

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780073263.7A Active CN110073476B (zh) 2016-11-28 2017-11-27 具备保护膜的薄膜晶体管基板及其制造方法

Country Status (6)

Country Link
US (1) US10916661B2 (zh)
JP (1) JP7033259B2 (zh)
KR (1) KR102465013B1 (zh)
CN (1) CN110073476B (zh)
TW (1) TWI752122B (zh)
WO (1) WO2018097284A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10756116B2 (en) * 2018-03-20 2020-08-25 Sharp Kabushiki Kaisha Active matrix substrate having thin film transistors that each include copper gate electrode and oxide semiconductor layer
JP7230743B2 (ja) 2019-08-27 2023-03-01 株式会社デンソー 半導体装置の製造方法
KR20210057843A (ko) 2019-11-12 2021-05-24 삼성디스플레이 주식회사 표시 장치 및 이의 제조 방법
JPWO2022019205A1 (zh) * 2020-07-22 2022-01-27
KR102495606B1 (ko) 2021-03-19 2023-02-06 연세대학교 원주산학협력단 가교반응이 가능한 폴리(이미드-실록산)을 이용한 트랜지스터 보호막 및 이의 제조방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101896537A (zh) * 2007-12-10 2010-11-24 株式会社钟化 具有碱显影性的固化性组合物、使用该组合物的绝缘性薄膜以及薄膜晶体管
JP2011100980A (ja) * 2009-10-05 2011-05-19 Semiconductor Energy Lab Co Ltd 半導体装置及び半導体装置の作製方法
CN104821337A (zh) * 2014-01-31 2015-08-05 国立大学法人奈良先端科学技术大学院大学 具备保护膜的薄膜晶体管基板及其制造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4373082B2 (ja) 2001-12-28 2009-11-25 富士通株式会社 アルカリ可溶性シロキサン重合体、ポジ型レジスト組成物、レジストパターン及びその製造方法、並びに、電子回路装置及びその製造方法
JP2008248239A (ja) 2007-03-08 2008-10-16 Toray Ind Inc シロキサン樹脂組成物、それを用いた硬化膜および光学デバイス
JP2008278239A (ja) * 2007-04-27 2008-11-13 Toshiba Corp 電話システムおよび通信端末
FR2918749B1 (fr) 2007-07-12 2009-10-09 Michelin Soc Tech Procede d'evaluation de l'adherence transversale d'un pneu sur un sol enneige
JP5863266B2 (ja) 2011-04-12 2016-02-16 メルクパフォーマンスマテリアルズIp合同会社 シロキサン樹脂含有塗布組成物
TWI573194B (zh) 2012-06-15 2017-03-01 Dic股份有限公司 半導體用絕緣膜及使用其的有機薄膜電晶體
KR20150032528A (ko) * 2012-07-19 2015-03-26 도레이 카부시키가이샤 폴리실록산 조성물, 전자 디바이스 및 광학 디바이스
JP6480691B2 (ja) 2013-10-21 2019-03-13 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ ケイ素含有熱または光硬化性組成物
CN107209456A (zh) * 2015-02-04 2017-09-26 堺显示器制品株式会社 正型感光性硅氧烷组合物、有源矩阵基板、显示装置以及有源矩阵基板的制造方法
JP6702304B2 (ja) 2015-03-25 2020-06-03 凸版印刷株式会社 薄膜トランジスタ、薄膜トランジスタの製造方法及び薄膜トランジスタを用いた画像表示装置
JP2017173741A (ja) * 2016-03-25 2017-09-28 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ 感光性シロキサン組成物

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101896537A (zh) * 2007-12-10 2010-11-24 株式会社钟化 具有碱显影性的固化性组合物、使用该组合物的绝缘性薄膜以及薄膜晶体管
JP2011100980A (ja) * 2009-10-05 2011-05-19 Semiconductor Energy Lab Co Ltd 半導体装置及び半導体装置の作製方法
CN104821337A (zh) * 2014-01-31 2015-08-05 国立大学法人奈良先端科学技术大学院大学 具备保护膜的薄膜晶体管基板及其制造方法

Also Published As

Publication number Publication date
TW201833191A (zh) 2018-09-16
KR102465013B1 (ko) 2022-11-09
US20190319131A1 (en) 2019-10-17
WO2018097284A1 (ja) 2018-05-31
US10916661B2 (en) 2021-02-09
JPWO2018097284A1 (ja) 2019-10-17
KR20190087595A (ko) 2019-07-24
TWI752122B (zh) 2022-01-11
CN110073476B (zh) 2023-09-01
JP7033259B2 (ja) 2022-03-10

Similar Documents

Publication Publication Date Title
CN110073476A (zh) 具备保护膜的薄膜晶体管基板及其制造方法
CN104821337B (zh) 具备保护膜的薄膜晶体管基板及其制造方法
CN111148805B (zh) 正型感光性硅氧烷组合物以及使用了其的固化膜
TW201132706A (en) Inverted pattern forming method and resin composition
KR102590062B1 (ko) 감광성 실록산 조성물 및 이를 사용하여 형성된 경화 필름
TW201627771A (zh) 含矽膜形成用組成物及使用該組成物的圖案形成方法
TWI494696B (zh) 感放射線性組成物及硬化膜
KR102639846B1 (ko) 폴리실록산, 이를 포함하는 조성물 및 이를 사용하는 경화 필름
CN111512230A (zh) 感光性硅氧烷组合物以及使用了其的图案形成方法
CN114040948B (zh) 栅极绝缘膜形成用组合物

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant