CN109797379B - 包括上喷头和下喷头的沉积设备 - Google Patents

包括上喷头和下喷头的沉积设备 Download PDF

Info

Publication number
CN109797379B
CN109797379B CN201811267563.1A CN201811267563A CN109797379B CN 109797379 B CN109797379 B CN 109797379B CN 201811267563 A CN201811267563 A CN 201811267563A CN 109797379 B CN109797379 B CN 109797379B
Authority
CN
China
Prior art keywords
wafer
deposition apparatus
showerhead
spray head
flow path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201811267563.1A
Other languages
English (en)
Other versions
CN109797379A (zh
Inventor
徐枝延
朴柄善
朴星津
任智芸
林炫锡
田炳好
姜有善
权赫镐
严太镕
崔大宪
河东协
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN109797379A publication Critical patent/CN109797379A/zh
Application granted granted Critical
Publication of CN109797379B publication Critical patent/CN109797379B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/50Substrate holders
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

一种用于在晶圆上沉积材料的沉积设备,该设备包括:下喷头;上喷头,设置在所述下喷头上方,所述上喷头面对所述下喷头;以及支撑结构,位于所述上喷头与所述下喷头之间,所述晶圆能够被所述支撑结构支撑,其中,所述上喷头包括用于向所述晶圆上提供上部气体的上孔,所述下喷头包括用于向所述晶圆上提供下部气体的下孔,所述支撑结构包括:环形体,围绕所述晶圆;多个环支撑轴,位于所述环形体与所述下喷头之间;以及多个晶圆支撑件,从环形体的下部区域向内延伸以支撑晶圆,并且多个晶圆支撑件彼此间隔开。

Description

包括上喷头和下喷头的沉积设备
相关申请的交叉引用
本申请要求享有于2017年11月16日在韩国知识产权局提交的题为“包括上喷头和下喷头的沉积设备”的韩国专利申请No.10-2017-0153018的优先权,其全部内容通过引用合并于此。
技术领域
本申请的实施例涉及一种包括上喷头和下喷头的沉积设备。
背景技术
一般地,集成电路(IC)可以形成在半导体晶圆的前表面上。
发明内容
可以通过提供用于在晶圆上沉积材料的沉积设备来实现实施例,该设备包括:下喷头;上喷头,设置在所述下喷头上方,所述上喷头面对所述下喷头;以及支撑结构,位于所述上喷头与所述下喷头之间,所述晶圆能够被所述支撑结构支撑,其中,所述上喷头包括用于向所述晶圆上提供上部气体的上孔,所述下喷头包括用于向所述晶圆上提供下部气体的下孔,所述支撑结构包括:环形体,围绕所述晶圆;多个环支撑轴,位于所述环形体与所述下喷头之间;以及多个晶圆支撑件,从环形体的下部区域向内延伸以支撑晶圆,并且多个晶圆支撑件彼此间隔开。
可以通过提供用于在晶圆上沉积材料的沉积设备来实现实施例,所述设备包括:上喷头和下喷头,设置在处理室内,所述上喷头面对所述下喷头;支撑结构,设置在所述上喷头与所述下喷头之间,所述晶圆能够支撑于所述支撑结构上;以及等离子体处理区域,设置在所述支撑结构与所述下喷头之间,并且设置在所述晶圆与所述下喷头之间,其中,所述上喷头包括用于向所述晶圆上提供上部气体的上孔,所述上孔包括内部上孔和外部上孔,所述外部上孔围绕所述内部上孔,以及所述外部上孔与所述内部上孔具有不同的尺寸或不同的布置密度。
可以通过提供用于在晶圆上沉积材料的沉积设备来实现实施例,所述设备包括:上喷头和下喷头,设置在处理室内,所述上喷头面对所述下喷头;支撑结构,设置在所述上喷头和所述下喷头之间并连接到所述下喷头,所述晶圆能够支撑于所述支撑结构上;等离子体处理区域,设置在所述支撑结构与所述下喷头之间,以及设置在所述晶圆与所述下喷头之间,所述等离子体处理区域被配置为在所述晶圆的后表面上形成后增强膜;上部气体供应源,位于所述处理室外;以及气体分配器,连接到所述上部气体供应源,其中,所述上喷头包括用于向所述晶圆的前表面上提供上部气体的上孔,所述上孔包括内部上孔和外部上孔,所述外部上孔围绕所述内部上孔,所述上喷头包括连接到所述内部上孔的内部上流动路径以及连接到所述外部上孔的外部上流动路径,并且所述气体分配器连接到所述内部上流动路径和所述外部上流动路径。
附图说明
通过参考附图详细描述示例性实施例,特征对于本领域技术人员将是显而易见的,在附图中:
图1示出了根据示例实施例的沉积设备的示意性截面图;
图2示出了根据示例实施例的沉积设备的一部分的示意性透视图;
图3示出了根据示例实施例的沉积设备的一部分的平面图;
图4和图5示出了根据示例实施例的沉积设备的一部分的截面图;
图6A示出了根据示例实施例的沉积设备的一部分的修改示例的截面图;
图6B示出了根据示例实施例的沉积设备的一部分的修改示例的截面图;
图7A示出了根据示例实施例的沉积设备的一部分的示意性透视图;
图7B示出了根据示例实施例的沉积设备的一部分的修改示例的示意性透视图;
图7C示出了根据示例实施例的沉积设备的一部分的修改示例的示意性透视图;
图7D示出了根据示例实施例的沉积设备的一部分的修改示例的示意性透视图;
图8A示出了根据示例实施例的沉积设备的一部分的修改示例的平面图;
图8B示出了根据示例实施例的沉积设备的一部分的修改示例的平面图;
图9示出了根据示例实施例的沉积设备的一部分的平面图;
图10A示出了根据示例实施例的沉积设备的一部分的修改示例的平面图;
图10B示出了根据示例实施例的沉积设备的一部分的修改示例的平面图;
图11示出了根据示例实施例的沉积设备的修改示例的示意性截面图;
图12示出了根据示例实施例的沉积设备的修改示例的示意性截面图;
图13示出了根据示例实施例的沉积设备的修改示例的示意性截面图;
图14示出了根据示例实施例的沉积设备的修改示例的示意性截面图;
图15A、图15B、图15C和图15D示出了根据示例实施例的概念性地示出可以由沉积设备形成的晶圆的示例的截面图。
具体实施方式
下面将参考附图描述根据示例实施例的沉积设备。
将参考图1描述根据示例实施例的沉积设备1的示例。图1示出了根据示例实施例的沉积设备1的示意性截面图。
参照图1,根据示例实施例的沉积设备1可以包括处理室5、设置在处理室5内的下喷头30、在处理室5内位于下喷头30上方的上喷头10以及设置在上喷头10和下喷头30之间的支撑结构40。上喷头10和下喷头30可以彼此面对。支撑结构40可以与下喷头30耦合或附接到下喷头30,并且可以与上喷头10间隔开。
根据示例实施例的沉积设备1可以包括上部气体供应源60、上部气管62(上部气体63可通过其从上部气体供应源60移动到处理室5中)、下部气体供应源70、下部气管72(下部气体73可以通过其从下部气体供应源70移动到处理室5中)以及在处理室5内产生等离子体的射频(RF)电源90。
上喷头10可以具有面对下喷头30的上头表面10s,并且下喷头30可以具有面对上头表面10s的下头表面30s。
在实现方式中,上支撑件8可以将上喷头10固定到处理室。
上喷头10可以在其中具有上流动路径12。上流动路径12可以延伸到上支撑件8中以连接到上部气管62。
上喷头10可以包括上孔14,用于将上部气体63喷射或提供到晶圆W上。上孔14可以从上头表面10s向内部延伸到上喷头10中以连接到上流动路径12。因此,从上部气体供应源60供应的上部气体63可以通过上部气管62、上流动路径12和上孔14依次提供到晶圆W(由支撑结构40支撑)的前表面WF上。晶圆W可以具有面对上喷头10的前表面WF以及面对下喷头30的后表面WB。
下喷头30可以在其中具有下流动路径32。下流动路径32可以延伸到下轴结构28中并且延伸到设置在下轴结构28下方的下结构55中,以连接到下部气管72。下轴结构28可以使下喷头30和支撑结构40在竖直方向Y上移动。下轴结构28也可以通过设置在下轴结构28下方的下结构55在竖直方向Y上移动。
下喷头30可以包括下孔34,用于将下部气体73提供到晶圆W上。下孔34可以在内部从下头表面30s延伸到下喷头30中,以连接到下流动路径32。因此,从下部气体供应源70供应的下部气体73可以依次通过下部气管72、下流动路径32和下孔34提供到晶圆W的后表面WB上。
因此,根据示例实施例的沉积设备1可以执行用于在由支撑结构40支撑的晶圆W的后表面WB上形成后增强膜的沉积处理。
根据示例实施例的沉积设备1还可以执行用于在晶圆W的前表面WF的边缘上沉积前增强膜的沉积处理,同时在由支撑结构40支撑的晶圆W的后表面WB上沉积后增强膜。
当RF电力供应到RF电源90时,等离子体可以由晶圆W的后表面WB与下喷头30之间的下部气体73产生。如上所述,由下部气体73产生的等离子体可以用于在晶圆W的后表面WB上沉积后增强膜,例如,氧化硅膜或氮化硅膜。下部气体73可以是等离子体处理源气体,可以用于通过等离子体处理来形成氧化硅膜或氮化硅膜。可通过下部气体73产生等离子体的区域可以被定义为“等离子体处理区域PL”。等离子体处理区域PL可以限定在支撑结构40和下喷头30之间,以及限定在晶圆W和下喷头30之间。
上部气体63可以从上部气体供应源60供应并且通过上喷头10的上孔14提供到晶圆W的前表面WF上的上净化区域PU。上部气体63可以是净化气体,即使当向RF电源部90供应RF电力时也不会通过净化气体产生等离子体。在实现方式中,上部气体63可以是诸如氮气之类的净化气体。上净化区域PU可以防止在等离子体处理区域PL中产生的等离子体沉积到晶圆W的前表面WF上。因此,上净化区域PU可以防止晶圆W的前表面WF被损坏或污染。
在实现方式中,提供到上喷头10和下喷头30之间的空间的上部气体63和下部气体73可以通过设置在处理腔室5的下部区域中的排气或排放部82排放。排放部82可以连接到真空泵80,并且上部气体63和下部气体73可以通过由真空泵80产生的真空吸入力排放。
将参照图1至图5描述支撑晶圆W的支撑结构40的示例。图2示出了根据示例实施例的沉积设备1的支撑结构40的示意性透视图;图3示出了支撑结构40和位于支撑结构40上的晶圆W的示意性平面图;图4示出了沿着图3的线I-I'截取的截面图。图5示出了沿着图3的线II-II'截取的截面图。
参照图1至图5,支撑结构40可以设置在下喷头30的下头表面30s上方(例如,在下喷头30和上喷头10之间)。
支撑结构40可以包括:围绕晶圆W的环形体44(以被支撑在其上);多个环支撑轴42,从环形体44向下延伸以连接到下喷头30;以及晶圆支撑件46,从环形体44的下部区域向内延伸以支撑晶圆W。在实现方式中,晶圆支撑件46可以被提供为多个晶圆支撑件46。
当下喷头30和支撑结构40通过下轴结构28向上移动时,与下喷头30相比,支撑结构40的环形体44可以更靠近上喷头10。
在实现方式中,晶圆支撑件46可以被提供为多个晶圆支撑件46,每个晶圆支撑件46可以具有具有一定厚度的板形状。在实现方式中,晶圆支撑件46可以被修改为具有各种合适的形状。将参考图6A描述晶圆支撑件46的修改示例。图6A示出了晶圆支撑件46的修改示例的示意性截面图。
参照图6A,晶圆支撑件46可以包括从环形体44向内延伸的支撑体46a以及从支撑体46a在与支撑体46a的延伸方向X垂直的方向Y上(例如,在竖直方向Y上)延伸的支撑尖端46b。在实现方式中,支撑尖端46b可以具有四边形形状(例如,其横截面)。将参考图6B描述支撑尖端46b的修改示例。图6B示出了支撑尖端46b的修改示例的示意性截面图。参照图6B,在实现方式中,支撑尖端46b的宽度可以沿向上延伸远离支撑体46a的方向变窄(例如,支撑尖端46b的截面可以具有梯形形状,使得支撑尖端46b的靠近支撑体46a的区域的宽度大于支撑尖端46b的远离支撑体46a的区域的宽度)。
将参考图7A至图7D描述支撑尖端46b的各种示例。图7A至图7D示出了支撑尖端46b的各种示例的透视图。
参照图7A,支撑尖端46b可以具有四边形柱形形状(例如,六面体或长方体)。
参考图7B,支撑尖端46b可以具有圆柱形形状。
参照图7C,支撑尖端46b可以具有宽度向上变窄的圆柱形形状(例如,部分圆锥形形状)。
参照图7D,支撑尖端46b可以具有宽度向上变窄(例如,直至点或顶点)的圆锥形形状。
再次参照图3,当在平面图中观察时,晶圆支撑件46可以具有从环形体44向内延伸的四边形形状,以具有一定宽度。在实现方式中,从环形体44向内延伸的晶圆支撑件46的长度可以小于其宽度。将参考图8A和图8B描述晶圆支撑件46的修改示例。图8A和图8B示出了晶圆支撑件46的修改示例的平面图。
参照图8A,当在平面图中观察时,晶圆支撑件46的宽度可以在环形体44的向内方向上变窄(例如,具有梯形形状)。
参照图8B,从环形体44向内延伸的晶圆支撑件46的长度可以大于其宽度。
将参考图1和图9描述形成在上喷头10的上头表面10s中的上孔14。图9示出了示出包括上孔14的上喷头10的上头表面10s的平面图。
参照图1和图9,上喷头10的上头表面10s可以具有上孔区域11,上孔14可以形成在上孔区域11中。
上孔14可以包括内部上孔14a以及围绕内部上孔14a(例如,围绕内部上孔14a延伸)的外部上孔14b。
在实现方式中,可设置有内部上孔14a的区域可以被称为“内部上孔区域11a”,并且可设置有外部上孔14b的区域可以被称为“外部上孔区域11b”。
在实现方式中,内部上孔14a和外部上孔14b可以具有相同尺寸(例如,直径)的圆形形状。
在实现方式中,外部上孔14b的布置密度可以与内部上孔14a的布置密度基本相同。
在实现方式中,内部上孔14a和外部上孔14b可以具有相同的尺寸和相同的布置密度。在实现方式中,内部上孔14a和外部上孔14b可以被修改为具有不同的尺寸或不同的布置密度。将参考图10A描述如上所述的内部上孔14a和外部上孔14b的修改示例。图10A示出了内部上孔14a和外部上孔14b的修改示例的平面图。
参照图10A,上孔14的外部上孔14b的布置密度可以高于其内部上孔14a的布置密度。例如,内部上孔14a和外部上孔14b可以具有相同的尺寸,并且外部上孔14b之间的距离可以小于内部上孔14a之间的距离。因此,上头表面10s的上孔区域11可以包括内部上孔区域11a和外部上孔区域11b,内部上孔11a可以设置在内部上孔区域11a中,比内部上孔14a具有相对更高布置密度的外部上孔14b可以设置在外部上孔区域11b中。
在实现方式中,外部上孔14b可以具有与内部上孔14a不同的布置密度,并且可以具有与内部上孔14a相同的尺寸。在实现方式中,外部上孔14b可以被修改为具有与内部上孔14a不同的尺寸。将参考图10B描述如上所述的具有不同尺寸的外部上孔14b和内部上孔14a的修改示例。图10B示出了具有不同尺寸的外部上孔14b和内部上孔14a的修改示例的平面图。
参照图10B,每个外部上孔14b(设置在外部上孔区域11b内)的直径可以大于每个内部上孔14a(设置在内部上孔区域11a内)的直径。在实现方式中,相邻的外部上孔14b之间的距离可以小于相邻的内部上孔14a之间的距离。
在实现方式中,再次参照图1,上孔14可以连接到共同的上流动路径12。例如,内部上孔14a(图9、图10A和图10B)和外部上孔14b(图9、图10A和图10B)可以连接到共同的上流动路径12。在实现方式中,内部上孔14a(图9、图10A和图10B)和外部上孔14b(图9、图10A和图10B)可以连接到彼此间隔开或彼此隔离的上流动路径。如上所述,将参考图11描述包括可连接到不同上流动路径的内部上孔14a和外部上孔14b的沉积设备1的修改示例。图11示出了包括可连接到不同上流动路径的内部上孔14a和外部上孔14b的沉积设备1的修改示例的示意性截面图。
参照图11,沉积设备1可以包括处理室5、下轴结构28、下喷头30、支撑晶圆W的支撑结构40、上部气体供应源60、上部气管62、下部气体供应源70、下部气管72、排放部82和RF电源90。
上喷头10可以面对下喷头30。上喷头10可以包括内部上孔14a和围绕内部上孔14a的外部上孔14b。内部上孔14a和外部上孔14b可以与上面参照图9、图10A或图10B描述的那些相同。
上头表面10s可以包括不同的上流动路径12a和12b。上流动路径12a和12b可以包括连接到内部上孔14a的内部上流动路径12a以及连接到外部上孔14b的外部上流动路径12b。内部上流动路径12a和外部上流动路径12b可以延伸到上喷头10的上支撑件8中以连接到上部气管62。
在实现方式中,气体分配器92可以设置在内部上流动路径12a和外部上流动路径12b与上部气管62之间。气体分配器92可以包括连接到内部上流动路径12a的内部流量控制器92a以及连接到外部上流动路径12b的外部流量控制器92b。
内部流量控制器92a可以调节通过内部上孔14a提供的上部气体63的流率,并且外部流量控制器92b可以调节通过外部上孔14b提供的上部气体63的流率。
上部气体63可以通过外部上孔14b提供到(由支撑结构40支撑d)晶圆W的边缘上。
气体分配器92的内部流量控制器92a可以调节设置在晶圆W的中心上的上部气体63的流率,并且气体分配器92的外部流量控制器92b可以调节提供到晶圆W的边缘上的上部气体63的流率。
例如,气体分配器92的内部流量控制器92a可以相对地减小提供到晶圆W的中心上的上部气体63的流率,从而防止晶圆W被上部气体63弯曲,并且气体分配器92的外流量控制器92b可以相对增加提供到晶圆W的边缘上的上部气体63的流率,从而防止在等离子体处理区域PL中产生的等离子体在晶圆W的前表面WF与上喷头10之间沿着支撑结构40的外表面穿透。因此,在晶圆W的后表面WB上沉积增强膜的同时,可以防止增强膜沉积在晶圆W的前表面WF上。
在实现方式中,沉积设备1可以使用在等离子体处理区域PL中产生的等离子体在晶圆W的后表面WB上沉积增强膜,同时通过调节提供到晶圆W的中央部分或边缘上的上部气体63的流率显著减少晶圆W的翘曲现象。因此,在晶圆W的后表面WB上形成的增强膜可以进一步显著减少晶圆W的翘曲现象。
再次参照图1,下喷头30可以包括设置在其中的下加热器36。下加热器36可以调整等离子体处理区域PL的处理温度。因此,根据示例实施例,沉积装置1可以使用下加热器36来调整处理温度。将参考图12描述下加热器36的修改示例。图12示出了根据示例实施例的沉积设备1的修改示例的示意性截面图。
参考图12,根据示例实施例,沉积装置1可以使用设置在上喷头10内的上加热器16以及设置在下喷头30内的下加热器36来调整处理温度。
在实现方式中,再次参照图1,上喷头10的形成有上孔14的区域可以与下喷头30的形成有下孔34的区域基本相同(例如,对齐或全等)。在实现方式中,上喷头10的形成有上孔14的区域的尺寸可以与下喷头30的形成有下孔34的区域的尺寸不同。如上所述,上喷头10的形成有上孔14的区域的尺寸可以与下喷头30的形成有下孔34的区域的尺寸不同的示例将参考图13和图14进行描述。图13和图14示出了概念性地示出了沉积设备1的修改示例的截面图,以便描述上喷头10的形成有上孔14的区域的尺寸可以与下喷头30的形成有下孔34的区域不同的示例。
参照图13,上喷头10的形成有上孔14的区域可以比下喷头30的形成有下孔34的区域更大(例如,其尺寸或面积)。
参照图14,下喷头30的形成有下孔34的区域可以比上喷头10的形成有上孔14的区域更大(例如,其尺寸或面积)。
可以在晶圆W的前表面WF上沉积多个层以形成集成电路(IC),并且可以执行多个蚀刻处理以形成具有不均匀厚度的各种前图案。取决于形成的前图案的类型和形状,在形成有这种各种前图案的晶圆W中可能以各种方式发生各种类型的应力和卷边问题。
根据示例实施例,可以提供可在晶圆W的后表面WB上形成后增强膜的沉积设备1,以解决各种类型的应力和卷边问题。此外,根据示例实施例,可以提供沉积设备1,其可以在晶圆W的前表面WF的边缘上形成前增强膜,同时在晶圆W的后表面WB上形成后增强膜。
使用根据示例实施例的上述沉积设备1在其上执行沉积处理的晶圆W的示例将参考图15A至图15D进行描述。下面将描述的晶圆W的后表面WB可以被理解为面对上述的下喷头30,并且下面将描述的晶圆W的前表面WF可以被理解为面对上述的上喷头10。此外,晶圆W的前表面WF可以被理解为形成有前图案100以形成半导体IC。
参照图15A,使用上面参照图1描述的沉积设备1在其上执行沉积处理的晶圆W可以包括形成在晶圆W的后表面WB上的后增强膜100b。晶圆W的后表面WB可以包括可与图3或图5的晶圆支撑件46接触的接触部分CN,使得后增强膜100b不会形成在接触部分CN上。
在实现方式中,由于图3或图5的晶圆支撑件46可以形成为彼此间隔开的多个晶圆支撑件46,因此晶圆W的后表面WB上的接触部分CN的面积可以显著减小。因此,根据示例实施例的沉积设备1可以具有形成在晶圆W的后表面WB上的后增强膜100b,使得后增强膜100b可以具有相对更大的面积,以便解决晶圆W的应力和卷边。
参照图15B,使用包括如上参考图6A或图6B所述的支撑尖端46b的沉积设备1在其上执行沉积处理的晶圆W可以包括:后增强膜100b,设置在晶圆W的后表面WB上,以延伸到晶圆W的后表面WB的边缘。图6A或图6B的支撑尖端46b可以显著减小晶圆支撑件46和晶圆W之间的接触面积,从而可以显著减小晶圆W的后表面WB上的接触部分CN的面积。因此,形成在晶圆W的后表面WB上的后增强膜100b可以具有相对更大的面积,以便解决晶圆W的应力和卷边。
参照图15C,使用上面参照图11或图14描述的沉积设备1在其上执行沉积处理的晶圆W可以包括形成在晶圆W的前表面WF的边缘上的前增强膜100f和形成在晶圆W的后表面WB上的后增强膜100b。在实现方式中,前图案100可以不形成在晶圆W的边缘上,或者可以在晶圆W的边缘上具有比在晶圆W的中心进一步减小的厚度。因此,前增强膜100f可以补充或增强形成在晶圆W的前表面WF上的前图案100,并且前增强膜100f可以有助于显著减少晶圆W的翘曲现象。
在实现方式中,如上参考图11所述,可以减少使用外部流量控制器92b通过外部上孔14b提供的上部气体63的流率,使得由通过下孔34提供的下部气体73产生的等离子体可以沿着支撑结构40的外表面形成在晶圆W的前表面WF的边缘上。因此,前增强膜100f可以形成在晶圆W的前表面WF的边缘上,而后增强膜100b可以形成在晶圆W的后表面WB上。
在实现方式中,如上参考图14所述,下喷头30的形成有下孔34的区域可以大于上喷头10的形成有上孔14的区域,并且由通过下孔34提供的下部气体73产生的等离子体可以沿着支撑结构40的外表面形成在晶圆W的前表面WF的边缘上。因此,前增强膜100f可以形成在晶圆W的前表面WF的边缘上,而后增强膜100b可以形成在晶圆W的后表面WB上。
参照图15D,上面参考图11或图14描述的沉积设备1可以包括上面参考图6A或图6B描述的支撑尖端46b。如上所述,使用沉积设备1在其上执行沉积处理的晶圆W可以包括形成在晶圆W的前表面WF的边缘上的前增强膜100f以及设置在晶圆W的后表面WB上的延伸到晶圆W的后表面WB的边缘的后增强膜100b。
通过总结和回顾,可以通过在半导体晶圆的前表面上重复半导体制造处理(例如沉积处理、光刻处理和蚀刻处理)来形成IC。随着用于形成IC的半导体制造处理的发展,可以将前图案形成为均匀的厚度。这种前图案产生的应力可以引起半导体晶圆会翘曲的卷边现象。
如上所述,根据示例实施例,可以提供包括上喷头和下喷头的沉积设备。沉积设备可以更均匀地在晶圆的后表面上形成更大面积的后增强膜,并且沉积设备可以减少晶圆的翘曲现象。
根据示例实施例,可以提供沉积设备以在晶圆的前表面的边缘上形成前增强膜,同时在晶圆的后表面上形成后增强膜,以便减少晶圆的翘曲现象。
实施例可以提供可在晶圆的后表面上执行沉积处理的沉积设备。
实施例可以提供一种包括上喷头和下喷头的沉积设备。
本文已经公开了示例实施例,并且尽管采用了特定术语,但是它们仅用于且将被解释为一般的描述性意义,而不是为了限制的目的。在一些情况下,如提交本申请的本领域普通技术人员应认识到,除非另有明确说明,否则结合特定实施例描述的特征、特性和/或元件可以单独使用或与其他实施例描述的特征、特性和/或元件相结合使用。因此,本领域技术人员将理解,在不脱离如所附权利要求中阐述的本发明的精神和范围的前提下,可以进行形式和细节上的各种改变。

Claims (17)

1.一种用于在晶圆上沉积材料的沉积设备,所述设备包括:
下喷头;
上喷头,设置在所述下喷头上方,所述上喷头面对所述下喷头;以及
支撑结构,位于所述上喷头与所述下喷头之间,所述晶圆能够被所述支撑结构支撑,
其中:
所述上喷头包括用于向所述晶圆上提供上部气体的上孔,
所述下喷头包括用于向所述晶圆上提供下部气体的下孔,
所述支撑结构包括:
环形体,围绕所述晶圆;
多个环支撑轴,从所述环形体的下表面延伸以连接到所述下喷头;以及
多个晶圆支撑件,从所述环形体的下部区域向内延伸以支撑所述晶圆,并且
所述多个晶圆支撑件彼此间隔开,
其中,当在平面图中观察时,所述环形体的整个下表面在所述下喷头的上表面的内部。
2.根据权利要求1所述的沉积设备,还包括:下轴结构,设置在所述下喷头的下部以使所述下喷头和所述支撑结构在竖直方向上移动,
其中,当所述下喷头和所述支撑结构通过所述下轴结构向上移动时,与所述下喷头相比,所述支撑结构的环形体更靠近所述上喷头。
3.根据权利要求1所述的沉积设备,其中,所述晶圆支撑件包括:
支撑体,从所述环形体的下部区域向内延伸,以及
支撑尖端,设置在所述支撑体上。
4.根据权利要求3所述的沉积设备,其中,所述支撑尖端包括沿延伸远离所述支撑体的方向变窄的部分。
5.根据权利要求1所述的沉积设备,其中,所述晶圆支撑件包括沿从所述环形体向内延伸的方向变窄的部分。
6.根据权利要求1所述的沉积设备,其中:
所述上喷头包括上孔区域,所述上孔形成在所述上孔区域中,
所述上孔区域包括内部上孔区域和外部上孔区域,所述外部上孔区域围绕所述内部上孔区域,并且
所述上孔包括形成在所述内部上孔区域内的内部上孔以及形成在所述外部上孔区域内的外部上孔。
7.根据权利要求6所述的沉积设备,其中:
所述内部上孔和所述外部上孔具有相同的尺寸,并且
所述外部上孔之间的距离小于所述内部上孔之间的距离。
8.根据权利要求6所述的沉积设备,其中,每个所述外部上孔的直径大于每个所述内部上孔的直径。
9.根据权利要求6所述的沉积设备,其中:
所述上喷头包括连接到所述内部上孔的内部上流动路径以及连接到所述外部上孔的外部上流动路径,并且
所述外部上流动路径在所述上喷头内与所述内部上流动路径间隔开。
10.根据权利要求9所述的沉积设备,还包括:
内部流量控制器,连接到所述内部上流动路径;以及
外部流量控制器,连接到所述外部上流动路径。
11.根据权利要求6所述的沉积设备,其中,所述外部上孔区域的面积不同于所述内部上孔区域的面积。
12.根据权利要求1所述的沉积设备,还包括:下加热器,设置在所述下喷头内。
13.根据权利要求12所述的沉积设备,还包括:上加热器,设置在所述上喷头内。
14.一种用于在晶圆上沉积材料的沉积设备,所述设备包括:
上喷头和下喷头,设置在处理室内,所述上喷头面对所述下喷头;
支撑结构,设置在所述上喷头与所述下喷头之间,所述晶圆能够支撑于所述支撑结构上,其中,所述支撑结构包括:环形体,围绕所述晶圆;多个环支撑轴,从所述环形体的下表面延伸以连接到所述下喷头;以及多个晶圆支撑件,从所述环形体的下部区域向内延伸以支撑所述晶圆;以及
等离子体处理区域,设置在所述支撑结构与所述下喷头之间,并且设置在所述晶圆与所述下喷头之间,
其中:
所述上喷头包括用于向所述晶圆上提供上部气体的上孔,
所述上孔包括内部上孔和外部上孔,所述外部上孔围绕所述内部上孔,并且
所述外部上孔与所述内部上孔具有不同的尺寸或不同的布置密度;
其中,当在平面图中观察时,所述环形体的整个下表面在所述下喷头的上表面的内部,
其中,
所述上喷头包括连接到所述内部上孔的内部上流动路径以及连接到所述外部上孔的外部上流动路径,并且所述外部上流动路径在所述上喷头内与所述内部上流动路径间隔开,
其中,所述沉积设备还包括:
内部流量控制器,连接到所述内部上流动路径;以及
外部流量控制器,连接到所述外部上流动路径。
15.根据权利要求14所述的沉积设备,其中,每个所述外部上孔的直径大于每个所述内部上孔的直径。
16.根据权利要求14所述的沉积设备,其中,所述外部上孔的布置密度高于所述内部上孔的布置密度。
17.一种用于在晶圆上沉积材料的沉积设备,所述设备包括:
上喷头和下喷头,设置在处理室内,所述上喷头面对所述下喷头;
支撑结构,设置在所述上喷头和所述下喷头之间并连接到所述下喷头,所述晶圆能够支撑于所述支撑结构上,其中,所述支撑结构包括:环形体,围绕所述晶圆;多个环支撑轴,从所述环形体的下表面延伸以连接到所述下喷头;以及多个晶圆支撑件,从所述环形体的下部区域向内延伸以支撑所述晶圆;
等离子体处理区域,设置在所述支撑结构与所述下喷头之间,以及设置在所述晶圆与所述下喷头之间,所述等离子体处理区域被配置为在所述晶圆的后表面上形成后增强膜;
上部气体供应源,位于所述处理室外;以及
气体分配器,连接到所述上部气体供应源,
其中:
所述上喷头包括用于向所述晶圆的前表面上提供上部气体的上孔,
所述上孔包括内部上孔和外部上孔,所述外部上孔围绕所述内部上孔,
所述上喷头包括连接到所述内部上孔的内部上流动路径以及连接到所述外部上孔的外部上流动路径,并且
所述气体分配器连接到所述内部上流动路径和所述外部上流动路径,
其中,当在平面图中观察时,所述环形体的整个下表面在所述下喷头的上表面的内部,
其中,所述气体分配器包括:
内部流量控制器,连接到所述内部上流动路径;以及
外部流量控制器,连接到所述外部上流动路径,
其中,所述内部流量控制器和所述外部流量控制器中的每一个控制相同类型的气体的流率。
CN201811267563.1A 2017-11-16 2018-10-29 包括上喷头和下喷头的沉积设备 Active CN109797379B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2017-0153018 2017-11-16
KR1020170153018A KR102404061B1 (ko) 2017-11-16 2017-11-16 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치

Publications (2)

Publication Number Publication Date
CN109797379A CN109797379A (zh) 2019-05-24
CN109797379B true CN109797379B (zh) 2022-11-15

Family

ID=66432366

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811267563.1A Active CN109797379B (zh) 2017-11-16 2018-10-29 包括上喷头和下喷头的沉积设备

Country Status (3)

Country Link
US (1) US11018045B2 (zh)
KR (1) KR102404061B1 (zh)
CN (1) CN109797379B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
US10526703B2 (en) * 2018-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
KR20230117632A (ko) 2020-02-11 2023-08-08 램 리써치 코포레이션 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들
KR102494202B1 (ko) * 2020-06-25 2023-02-01 램 리써치 코포레이션 배면 (backside) 프로세싱을 위한 스테이션-가변 (station-varying) 지지 피처들 (support features) 을 갖는 멀티-스테이션 프로세싱 툴들
CN113388826A (zh) * 2021-06-01 2021-09-14 长江存储科技有限责任公司 一种沉积装置和沉积方法
TWI797885B (zh) * 2021-12-10 2023-04-01 敔泰企業有限公司 晶圓的承載結構

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5304248A (en) 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
US6296712B1 (en) 1997-12-02 2001-10-02 Applied Materials, Inc. Chemical vapor deposition hardware and process
KR100292410B1 (ko) 1998-09-23 2001-06-01 윤종용 불순물 오염이 억제된 반도체 제조용 반응 챔버
US6159299A (en) 1999-02-09 2000-12-12 Applied Materials, Inc. Wafer pedestal with a purge ring
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
KR20010076521A (ko) * 2000-01-26 2001-08-16 윤종용 화학 기상 증착 장치
TW517262B (en) 2000-03-16 2003-01-11 Applied Materials Inc Shadow ring with common guide member
TW506234B (en) 2000-09-18 2002-10-11 Tokyo Electron Ltd Tunable focus ring for plasma processing
TWI246873B (en) 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
US6921556B2 (en) 2002-04-12 2005-07-26 Asm Japan K.K. Method of film deposition using single-wafer-processing type CVD
JP4365226B2 (ja) 2004-01-14 2009-11-18 株式会社日立ハイテクノロジーズ プラズマエッチング装置及び方法
US7198677B2 (en) 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US8852349B2 (en) 2006-09-15 2014-10-07 Applied Materials, Inc. Wafer processing hardware for epitaxial deposition with reduced auto-doping and backside defects
KR20090024522A (ko) 2007-09-04 2009-03-09 주식회사 유진테크 기판처리장치
KR101277503B1 (ko) 2007-12-03 2013-06-21 참엔지니어링(주) 플라즈마 처리장치 및 플라즈마 처리방법
WO2009091189A2 (en) * 2008-01-16 2009-07-23 Sosul Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
WO2009125951A2 (en) * 2008-04-07 2009-10-15 Sosul Co., Ltd. Plasma processing apparatus and method for plasma processing
KR101515150B1 (ko) * 2008-04-07 2015-04-27 참엔지니어링(주) 플라즈마 처리 장치 및 플라즈마 처리 방법
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5371466B2 (ja) 2009-02-12 2013-12-18 株式会社日立ハイテクノロジーズ プラズマ処理方法
KR100990746B1 (ko) 2009-11-19 2010-11-02 (주)앤피에스 기판 처리 장치
KR20120036464A (ko) 2010-10-08 2012-04-18 주식회사 케이씨텍 서셉터 및 그를 구비한 원자층 증착 장치
JP5982758B2 (ja) * 2011-02-23 2016-08-31 東京エレクトロン株式会社 マイクロ波照射装置
CN106884157B (zh) * 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8956979B2 (en) 2011-11-17 2015-02-17 Skyworks Solutions, Inc. Systems and methods for improving front-side process uniformity by back-side metallization
US8721833B2 (en) 2012-02-05 2014-05-13 Tokyo Electron Limited Variable capacitance chamber component incorporating ferroelectric materials and methods of manufacturing and using thereof
JP2013191782A (ja) 2012-03-14 2013-09-26 Tokyo Electron Ltd ロードロック装置
US9412579B2 (en) 2012-04-26 2016-08-09 Applied Materials, Inc. Methods and apparatus for controlling substrate uniformity
US8865602B2 (en) 2012-09-28 2014-10-21 Applied Materials, Inc. Edge ring lip
KR101937692B1 (ko) 2012-10-09 2019-01-14 주식회사 원익아이피에스 기판 지지 장치 및 기판 처리 장치
WO2014065955A1 (en) 2012-10-24 2014-05-01 Applied Materials, Inc. Minimal contact edge ring for rapid thermal processing
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
KR101432157B1 (ko) 2013-02-06 2014-08-20 에이피시스템 주식회사 기판 지지대 및 이를 구비하는 기판 처리 장치
KR20140100764A (ko) 2013-02-07 2014-08-18 주식회사 원익아이피에스 기판 처리 장치
JP6135272B2 (ja) * 2013-04-19 2017-05-31 住友電気工業株式会社 基板固定冶具
US20150020848A1 (en) * 2013-07-19 2015-01-22 Lam Research Corporation Systems and Methods for In-Situ Wafer Edge and Backside Plasma Cleaning
US9881788B2 (en) 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US10242848B2 (en) 2014-12-12 2019-03-26 Lam Research Corporation Carrier ring structure and chamber systems including the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10755902B2 (en) 2015-05-27 2020-08-25 Tokyo Electron Limited Plasma processing apparatus and focus ring
US9428833B1 (en) 2015-05-29 2016-08-30 Lam Research Corporation Method and apparatus for backside deposition reduction by control of wafer support to achieve edge seal
US10358722B2 (en) * 2015-12-14 2019-07-23 Lam Research Corporation Showerhead assembly

Also Published As

Publication number Publication date
US20190148211A1 (en) 2019-05-16
KR102404061B1 (ko) 2022-05-31
US11018045B2 (en) 2021-05-25
CN109797379A (zh) 2019-05-24
KR20190056030A (ko) 2019-05-24

Similar Documents

Publication Publication Date Title
CN109797379B (zh) 包括上喷头和下喷头的沉积设备
CN109797376B (zh) 包括上喷头和下喷头在内的沉积装置
TWI397145B (zh) 具有整合均流板及改善之傳導性的下部內襯件
TWI761337B (zh) 基板處理系統
JP5668925B2 (ja) シャワーヘッドおよびこれを含む基板処理装置、並びにシャワーヘッドを用いてプラズマを供給する方法
CN108070846A (zh) 气体供应单元及包括气体供应单元的基板处理装置
JP6499771B2 (ja) 基板処理装置
TW201640555A (zh) 半導體製造裝置
JP2021170663A (ja) 可動エッジリング設計
US20190145002A1 (en) Showerhead and substrate processing device including the same
TW201932640A (zh) 半導體裝置製造設備與製造方法
KR102264575B1 (ko) 기판 보유 지지 기구 및 성막 장치
KR20010041608A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US20210032753A1 (en) Methods and apparatus for dual channel showerheads
KR100686724B1 (ko) 화학기상증착장치
KR102407353B1 (ko) 플라스마 처리 장치
KR100697267B1 (ko) 화학기상 증착장치
KR20040033831A (ko) 반도체 소자 제조 장치
KR102329167B1 (ko) 기판 지지 어셈블리 및 이를 포함하는 기판 처리 장치
CN115461493A (zh) 用于控制晶片斜边/边缘上的沉积的喷头设计
CN112117177A (zh) 工程气体供应装置以及配备上述装置的基板处理系统
CN112490105A (zh) 一种等离子体处理装置及处理方法
CN115466941A (zh) 喷淋头及基板处理装置
KR20190140886A (ko) 기판 처리 장치
KR19980069635A (ko) 반도체 제조용 공정챔버의 가스 인젝터

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant